OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /a-z80/trunk/host/common
    from Rev 8 to Rev 13
    Reverse comparison

Rev 8 → Rev 13

/wait_state.bdf
0,0 → 1,492
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
(input)
(rect 64 216 240 232)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "CLK" (rect 9 0 30 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 64 136 240 152)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "nM1" (rect 9 0 29 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(input)
(rect 64 376 240 392)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "nMREQ" (rect 9 0 46 12)(font "Arial" ))
(pt 176 8)
(drawing
(line (pt 92 12)(pt 117 12))
(line (pt 92 4)(pt 117 4))
(line (pt 121 8)(pt 176 8))
(line (pt 92 12)(pt 92 4))
(line (pt 117 4)(pt 121 8))
(line (pt 117 12)(pt 121 8))
)
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
(output)
(rect 608 72 784 88)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "nWAIT_M1" (rect 90 0 143 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 608 312 784 328)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "nWAIT_Mem" (rect 90 0 152 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(symbol
(rect 280 120 344 200)
(text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
(text "inst2" (rect 3 68 26 80)(font "Arial" ))
(port
(pt 32 80)
(input)
(text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
(text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
(line (pt 32 80)(pt 32 76))
)
(port
(pt 0 40)
(input)
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(line (pt 0 40)(pt 12 40))
)
(port
(pt 0 24)
(input)
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(line (pt 0 24)(pt 12 24))
)
(port
(pt 32 0)
(input)
(text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
(text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
(line (pt 32 4)(pt 32 0))
)
(port
(pt 64 24)
(output)
(text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
(text "Q" (rect 43 20 47 32)(font "Courier New" (bold)))
(line (pt 52 24)(pt 64 24))
)
(drawing
(line (pt 12 12)(pt 52 12))
(line (pt 12 68)(pt 52 68))
(line (pt 52 68)(pt 52 12))
(line (pt 12 68)(pt 12 12))
(line (pt 19 40)(pt 12 47))
(line (pt 12 32)(pt 20 40))
(circle (rect 28 4 36 12))
(circle (rect 28 68 36 76))
)
)
(symbol
(rect 416 120 480 200)
(text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
(text "inst3" (rect 3 68 26 80)(font "Arial" ))
(port
(pt 32 80)
(input)
(text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
(text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
(line (pt 32 80)(pt 32 76))
)
(port
(pt 0 40)
(input)
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(line (pt 0 40)(pt 12 40))
)
(port
(pt 0 24)
(input)
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(line (pt 0 24)(pt 12 24))
)
(port
(pt 32 0)
(input)
(text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
(text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
(line (pt 32 4)(pt 32 0))
)
(port
(pt 64 24)
(output)
(text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
(text "Q" (rect 43 20 47 32)(font "Courier New" (bold)))
(line (pt 52 24)(pt 64 24))
)
(drawing
(line (pt 12 12)(pt 52 12))
(line (pt 12 68)(pt 52 68))
(line (pt 52 68)(pt 52 12))
(line (pt 12 68)(pt 12 12))
(line (pt 19 40)(pt 12 47))
(line (pt 12 32)(pt 20 40))
(circle (rect 28 4 36 12))
(circle (rect 28 68 36 76))
)
)
(symbol
(rect 280 360 344 440)
(text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
(text "inst4" (rect 3 68 26 80)(font "Arial" ))
(port
(pt 32 80)
(input)
(text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
(text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
(line (pt 32 80)(pt 32 76))
)
(port
(pt 0 40)
(input)
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(line (pt 0 40)(pt 12 40))
)
(port
(pt 0 24)
(input)
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(line (pt 0 24)(pt 12 24))
)
(port
(pt 32 0)
(input)
(text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
(text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
(line (pt 32 4)(pt 32 0))
)
(port
(pt 64 24)
(output)
(text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
(text "Q" (rect 43 20 47 32)(font "Courier New" (bold)))
(line (pt 52 24)(pt 64 24))
)
(drawing
(line (pt 12 12)(pt 52 12))
(line (pt 12 68)(pt 52 68))
(line (pt 52 68)(pt 52 12))
(line (pt 12 68)(pt 12 12))
(line (pt 19 40)(pt 12 47))
(line (pt 12 32)(pt 20 40))
(circle (rect 28 4 36 12))
(circle (rect 28 68 36 76))
)
)
(symbol
(rect 416 360 480 440)
(text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
(text "inst5" (rect 3 68 26 80)(font "Arial" ))
(port
(pt 32 80)
(input)
(text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
(text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
(line (pt 32 80)(pt 32 76))
)
(port
(pt 0 40)
(input)
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
(line (pt 0 40)(pt 12 40))
)
(port
(pt 0 24)
(input)
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
(line (pt 0 24)(pt 12 24))
)
(port
(pt 32 0)
(input)
(text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
(text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
(line (pt 32 4)(pt 32 0))
)
(port
(pt 64 24)
(output)
(text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
(text "Q" (rect 43 20 47 32)(font "Courier New" (bold)))
(line (pt 52 24)(pt 64 24))
)
(drawing
(line (pt 12 12)(pt 52 12))
(line (pt 12 68)(pt 52 68))
(line (pt 52 68)(pt 52 12))
(line (pt 12 68)(pt 12 12))
(line (pt 19 40)(pt 12 47))
(line (pt 12 32)(pt 20 40))
(circle (rect 28 4 36 12))
(circle (rect 28 68 36 76))
)
)
(symbol
(rect 424 296 472 328)
(text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
(text "inst" (rect 3 21 20 33)(font "Arial" ))
(port
(pt 0 16)
(input)
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 13 16))
)
(port
(pt 48 16)
(output)
(text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
(line (pt 39 16)(pt 48 16))
)
(drawing
(line (pt 13 25)(pt 13 7))
(line (pt 13 7)(pt 31 16))
(line (pt 13 25)(pt 31 16))
(circle (rect 31 12 39 20))
)
)
(symbol
(rect 520 296 584 344)
(text "NAND2" (rect 1 0 32 10)(font "Arial" (font_size 6)))
(text "inst7" (rect 3 37 26 49)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
(line (pt 0 32)(pt 15 32))
)
(port
(pt 0 16)
(input)
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
(line (pt 0 16)(pt 15 16))
)
(port
(pt 64 24)
(output)
(text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
(text "OUT" (rect 48 15 62 27)(font "Courier New" (bold))(invisible))
(line (pt 52 24)(pt 64 24))
)
(drawing
(line (pt 15 37)(pt 32 37))
(line (pt 15 12)(pt 32 12))
(line (pt 15 36)(pt 15 12))
(arc (pt 32 36)(pt 32 12)(rect 20 12 45 37))
(circle (rect 44 20 52 28))
)
)
(connector
(pt 256 160)
(pt 280 160)
)
(connector
(pt 256 224)
(pt 256 160)
)
(connector
(pt 312 104)
(pt 504 104)
)
(connector
(pt 504 104)
(pt 504 144)
)
(connector
(pt 392 160)
(pt 392 224)
)
(connector
(pt 312 104)
(pt 312 120)
)
(connector
(pt 392 160)
(pt 416 160)
)
(connector
(pt 480 144)
(pt 504 144)
)
(connector
(pt 392 400)
(pt 416 400)
)
(connector
(pt 368 312)
(pt 424 312)
)
(connector
(pt 368 384)
(pt 368 312)
)
(connector
(pt 504 384)
(pt 504 328)
)
(connector
(pt 504 328)
(pt 520 328)
)
(connector
(pt 392 400)
(pt 392 464)
)
(connector
(pt 256 400)
(pt 256 464)
)
(connector
(pt 256 464)
(pt 392 464)
)
(connector
(pt 368 384)
(pt 416 384)
)
(connector
(pt 344 384)
(pt 368 384)
)
(connector
(pt 480 384)
(pt 504 384)
)
(connector
(pt 472 312)
(pt 520 312)
)
(connector
(text "CLK" (rect 127 400 148 412)(font "Arial" ))
(pt 152 400)
(pt 256 400)
)
(connector
(pt 256 400)
(pt 280 400)
)
(connector
(pt 584 320)
(pt 608 320)
)
(connector
(pt 240 224)
(pt 256 224)
)
(connector
(pt 256 224)
(pt 392 224)
)
(connector
(pt 240 144)
(pt 280 144)
)
(connector
(pt 240 384)
(pt 280 384)
)
(connector
(pt 368 144)
(pt 368 80)
)
(connector
(pt 344 144)
(pt 368 144)
)
(connector
(pt 368 144)
(pt 416 144)
)
(connector
(pt 368 80)
(pt 608 80)
)
(junction (pt 256 224))
(junction (pt 256 400))
(junction (pt 368 144))
(junction (pt 368 384))
(text "Memory Speed Control, Zilog Manual" (rect 72 40 324 56)(font "Arial" (font_size 10))(border))
(text "Adding One Wait State to an M1 Cycle" (rect 536 168 802 184)(font "Arial" (font_size 10)))
(text "Adding One Wait State to Any Memory Cycle" (rect 528 408 839 424)(font "Arial" (font_size 10)))
(line (pt 64 272)(pt 784 272)(color 0 255 0))
/wait_state.bsf
0,0 → 1,64
/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
*/
(header "symbol" (version "1.2"))
(symbol
(rect 16 16 184 112)
(text "wait_state" (rect 5 0 65 14)(font "Arial" (font_size 8)))
(text "inst" (rect 8 80 25 92)(font "Arial" ))
(port
(pt 0 32)
(input)
(text "nM1" (rect 0 0 23 14)(font "Arial" (font_size 8)))
(text "nM1" (rect 21 27 44 41)(font "Arial" (font_size 8)))
(line (pt 0 32)(pt 16 32))
)
(port
(pt 0 48)
(input)
(text "CLK" (rect 0 0 23 14)(font "Arial" (font_size 8)))
(text "CLK" (rect 21 43 44 57)(font "Arial" (font_size 8)))
(line (pt 0 48)(pt 16 48))
)
(port
(pt 0 64)
(input)
(text "nMREQ" (rect 0 0 41 14)(font "Arial" (font_size 8)))
(text "nMREQ" (rect 21 59 62 73)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 16 64))
)
(port
(pt 168 32)
(output)
(text "nWAIT_M1" (rect 0 0 61 14)(font "Arial" (font_size 8)))
(text "nWAIT_M1" (rect 86 27 147 41)(font "Arial" (font_size 8)))
(line (pt 168 32)(pt 152 32))
)
(port
(pt 168 48)
(output)
(text "nWAIT_Mem" (rect 0 0 70 14)(font "Arial" (font_size 8)))
(text "nWAIT_Mem" (rect 77 43 147 57)(font "Arial" (font_size 8)))
(line (pt 168 48)(pt 152 48))
)
(drawing
(rectangle (rect 16 16 152 80))
)
)
/wait_state.v
0,0 → 1,86
// Copyright (C) 1991-2013 Altera Corporation
// Your use of Altera Corporation's design tools, logic functions
// and other software and tools, and its AMPP partner logic
// functions, and any output files from any of the foregoing
// (including device programming or simulation files), and any
// associated documentation or information are expressly subject
// to the terms and conditions of the Altera Program License
// Subscription Agreement, Altera MegaCore Function License
// Agreement, or other applicable license agreement, including,
// without limitation, that your use is for the sole purpose of
// programming logic devices manufactured by Altera and sold by
// Altera or its authorized distributors. Please refer to the
// applicable agreement for further details.
 
// PROGRAM "Quartus II 64-Bit"
// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
// CREATED "Thu Dec 08 00:16:50 2016"
 
module wait_state(
CLK,
nM1,
nMREQ,
nWAIT_M1,
nWAIT_Mem
);
 
 
input wire CLK;
input wire nM1;
input wire nMREQ;
output wire nWAIT_M1;
output wire nWAIT_Mem;
 
reg SYNTHESIZED_WIRE_1;
reg DFF_inst3;
reg DFF_inst2;
reg DFF_inst5;
wire SYNTHESIZED_WIRE_0;
 
assign nWAIT_M1 = DFF_inst2;
 
 
 
assign SYNTHESIZED_WIRE_0 = ~SYNTHESIZED_WIRE_1;
 
 
always@(posedge CLK or negedge DFF_inst3)
begin
if (!DFF_inst3)
begin
DFF_inst2 <= 1;
end
else
begin
DFF_inst2 <= nM1;
end
end
 
 
always@(posedge CLK)
begin
begin
DFF_inst3 <= DFF_inst2;
end
end
 
 
always@(posedge CLK)
begin
begin
SYNTHESIZED_WIRE_1 <= nMREQ;
end
end
 
 
always@(posedge CLK)
begin
begin
DFF_inst5 <= SYNTHESIZED_WIRE_1;
end
end
 
assign nWAIT_Mem = ~(DFF_inst5 & SYNTHESIZED_WIRE_0);
 
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.