OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /a-z80/trunk/host/common
    from Rev 14 to Rev 17
    Reverse comparison

Rev 14 → Rev 17

/uart.v
1,8 → 1,8
// Simple transmit-only UART model
 
module uart #(
parameter BAUD = 115200,
parameter IN_CLOCK = 50000000)
parameter [28:0] BAUD = 115200,
parameter [28:0] IN_CLOCK = 50000000)
(
// Outputs
output wire busy, // Set when busy transmitting
22,7 → 22,7
 
// Calculate UART clock based on the input clock
reg [28:0] d;
wire [28:0] inc = d[28] ? 29'(BAUD) : 29'(BAUD - IN_CLOCK);
wire [28:0] inc = d[28] ? (BAUD) : (BAUD - IN_CLOCK);
wire [28:0] delta = d + inc;
 
always @(posedge clk)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.