OpenCores
URL https://opencores.org/ocsvn/adv_debug_sys/adv_debug_sys/trunk

Subversion Repositories adv_debug_sys

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /adv_debug_sys/trunk/Hardware
    from Rev 8 to Rev 14
    Reverse comparison

Rev 8 → Rev 14

/altera_virtual_jtag/rtl/vhdl/altera_virtual_jtag.vhd
47,9 → 47,12
// CVS Revision History
//
// $Log: altera_virtual_jtag.vhd,v $
// Revision 1.2 2009/05/17 20:54:47 Nathan
// Changed email address to opencores.org
// Revision 1.3 2009/06/16 02:53:19 Nathan
// Changed some signal names for better consistency between different hardware modules.
//
// Revision 1.2 2009/05/17 20:54:47 Nathan
// Changed email address to opencores.org
//
// Revision 1.1 2008/07/18 20:09:31 Nathan
// Changed directory structure to match existing projects.
//
68,8 → 71,8
PORT
(
tck_o : OUT STD_LOGIC;
debug_tdi_i : IN STD_LOGIC;
tdo_o : OUT STD_LOGIC;
debug_tdo_o : IN STD_LOGIC;
tdi_o : OUT STD_LOGIC;
test_logic_reset_o : OUT STD_LOGIC;
run_test_idle_o : OUT STD_LOGIC;
shift_dr_o : OUT STD_LOGIC;
151,8 → 154,8
)
PORT MAP (
ir_out => ir_value,
tdo => debug_tdi_i,
tdi => tdo_o,
tdo => debug_tdo_o,
tdi => tdi_o,
jtag_state_rti => run_test_idle_o,
tck => tck_o,
ir_in => ir_value,
/altera_virtual_jtag/doc/src/altera_virtual_jtag.odt Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/altera_virtual_jtag/doc/altera_virtual_jtag.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/adv_dbg_if/doc/AdvancedDebugInterface.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/adv_dbg_if/doc/src/AdvancedDebugInterface.odt Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/jtag/tap/rtl/verilog/tap_top.v
43,9 → 43,12
// CVS Revision History
//
// $Log: tap_top.v,v $
// Revision 1.4 2009/05/17 20:54:38 Nathan
// Changed email address to opencores.org
// Revision 1.5 2009/06/16 02:53:58 Nathan
// Changed some signal names for better consistency between different hardware modules. Removed stale CVS log/comments.
//
// Revision 1.4 2009/05/17 20:54:38 Nathan
// Changed email address to opencores.org
//
// Revision 1.3 2008/06/18 18:45:07 Nathan
// Improved reset slightly. Cleanup.
//
59,60 → 62,6
// path. Unified the registers at the output of each data register
// to a single shared FF.
//
// Revision 1.6 2004/01/27 10:00:33 mohor
// Unused registers removed.
//
// Revision 1.5 2004/01/18 09:27:39 simons
// Blocking non blocking assignmenst fixed.
//
// Revision 1.4 2004/01/17 17:37:44 mohor
// capture_dr_o added to ports.
//
// Revision 1.3 2004/01/14 13:50:56 mohor
// 5 consecutive TMS=1 causes reset of TAP.
//
// Revision 1.2 2004/01/08 10:29:44 mohor
// Control signals for tdo_pad_o mux are changed to negedge.
//
// Revision 1.1 2003/12/23 14:52:14 mohor
// Directory structure changed. New version of TAP.
//
// Revision 1.10 2003/10/23 18:08:01 mohor
// MBIST chain connection fixed.
//
// Revision 1.9 2003/10/23 16:17:02 mohor
// CRC logic changed.
//
// Revision 1.8 2003/10/21 09:48:31 simons
// Mbist support added.
//
// Revision 1.7 2002/11/06 14:30:10 mohor
// Trst active high. Inverted on higher layer.
//
// Revision 1.6 2002/04/22 12:55:56 mohor
// tdo_padoen_o changed to tdo_padoe_o. Signal is active high.
//
// Revision 1.5 2002/03/26 14:23:38 mohor
// Signal tdo_padoe_o changed back to tdo_padoen_o.
//
// Revision 1.4 2002/03/25 13:16:15 mohor
// tdo_padoen_o changed to tdo_padoe_o. Signal was always active high, just
// not named correctly.
//
// Revision 1.3 2002/03/12 14:30:05 mohor
// Few outputs for boundary scan chain added.
//
// Revision 1.2 2002/03/12 10:31:53 mohor
// tap_top and dbg_top modules are put into two separate modules. tap_top
// contains only tap state machine and related logic. dbg_top contains all
// logic necessery for debugging.
//
// Revision 1.1 2002/03/08 15:28:16 mohor
// Structure changed. Hooks for jtag chain added.
//
//
//
//
 
`include "tap_defines.v"
 
141,12 → 90,12
debug_select_o,
// TDO signal that is connected to TDI of sub-modules.
tdo_o,
tdi_o,
// TDI signals from sub-modules
debug_tdi_i, // from debug module
bs_chain_tdi_i, // from Boundary Scan Chain
mbist_tdi_i // from Mbist Chain
debug_tdo_i, // from debug module
bs_chain_tdo_i, // from Boundary Scan Chain
mbist_tdo_i // from Mbist Chain
);
 
 
173,12 → 122,12
output debug_select_o;
 
// TDO signal that is connected to TDI of sub-modules.
output tdo_o;
output tdi_o;
 
// TDI signals from sub-modules
input debug_tdi_i; // from debug module
input bs_chain_tdi_i; // from Boundary Scan Chain
input mbist_tdi_i; // from Mbist Chain
input debug_tdo_i; // from debug module
input bs_chain_tdo_i; // from Boundary Scan Chain
input mbist_tdo_i; // from Mbist Chain
 
// Wires which depend on the state of the TAP FSM
reg test_logic_reset;
210,7 → 159,7
reg tdo_pad_o;
reg tdo_padoe_o;
 
assign tdo_o = tdi_pad_i;
assign tdi_o = tdi_pad_i;
 
assign test_logic_reset_o = test_logic_reset;
assign run_test_idle_o = run_test_idle;
537,8 → 486,8
reg tdo_mux_out; // really just a wire
 
always @ (shift_ir or instruction_tdo or latched_jtag_ir or idcode_tdo or
debug_tdi_i or bs_chain_tdi_i or mbist_tdi_i or bypassed_tdo or
bs_chain_tdi_i)
debug_tdo_i or bs_chain_tdo_i or mbist_tdo_i or bypassed_tdo or
bs_chain_tdo_i)
begin
if(shift_ir)
tdo_mux_out = instruction_tdo;
546,10 → 495,10
begin
case(latched_jtag_ir) // synthesis parallel_case
`IDCODE: tdo_mux_out = idcode_tdo; // Reading ID code
`DEBUG: tdo_mux_out = debug_tdi_i; // Debug
`SAMPLE_PRELOAD: tdo_mux_out = bs_chain_tdi_i; // Sampling/Preloading
`EXTEST: tdo_mux_out = bs_chain_tdi_i; // External test
`MBIST: tdo_mux_out = mbist_tdi_i; // Mbist test
`DEBUG: tdo_mux_out = debug_tdo_i; // Debug
`SAMPLE_PRELOAD: tdo_mux_out = bs_chain_tdo_i; // Sampling/Preloading
`EXTEST: tdo_mux_out = bs_chain_tdo_i; // External test
`MBIST: tdo_mux_out = mbist_tdo_i; // Mbist test
default: tdo_mux_out = bypassed_tdo; // BYPASS instruction
endcase
end
/jtag/tap/doc/src/jtag.odt Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/jtag/tap/doc/jtag.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/xilinx_internal_jtag/rtl/verilog/xilinx_internal_jtag.v
47,6 → 47,9
// CVS Revision History
//
// $Log: xilinx_internal_jtag.v,v $
// Revision 1.3 2009/06/16 02:54:23 Nathan
// Changed some signal names for better consistency between different hardware modules.
//
// Revision 1.2 2009/05/17 20:54:16 Nathan
// Changed email address to opencores.org
//
81,8 → 84,8
 
module xilinx_internal_jtag (
tck_o,
debug_tdi_i,
tdo_o,
debug_tdo_i,
tdi_o,
test_logic_reset_o,
run_test_idle_o,
shift_dr_o,
96,9 → 99,9
// Only used for Virtex 4/5 devices
parameter virtex_jtag_chain = 1;
 
input debug_tdi_i;
input debug_tdo_i;
output tck_o;
output tdo_o;
output tdi_o;
output test_logic_reset_o;
output run_test_idle_o;
output shift_dr_o;
107,10 → 110,10
output update_dr_o;
output debug_select_o;
 
wire debug_tdi_i;
wire debug_tdo_i;
wire tck_o;
wire drck;
wire tdo_o;
wire tdi_o;
wire test_logic_reset_o;
wire run_test_idle_o;
wire shift_dr_o;
138,9 → 141,9
.SEL1(debug_select_o), // USER1 active output
.SEL2(), // USER2 active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.UPDATE(update_bscan), // UPDATE output from TAP controller
.TDO1(debug_tdi_i), // Data input for USER1 function
.TDO1(debug_tdo_i), // Data input for USER1 function
.TDO2( 1'b0 ) // Data input for USER2 function
);
 
192,9 → 195,9
.SEL1(debug_select_o), // USER1 active output
.SEL2(), // USER2 active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.UPDATE(update_bscan), // UPDATE output from TAP controller
.TDO1(debug_tdi_i), // Data input for USER1 function
.TDO1(debug_tdo_i), // Data input for USER1 function
.TDO2(1'b0) // Data input for USER2 function
);
 
233,10 → 236,10
.SEL2(), // USER2 active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TCK(tck_o), // TCK output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.TMS(), // TMS output from TAP controller
.UPDATE(update_dr_o), // UPDATE output from TAP controller
.TDO1(debug_tdi_i), // Data input for USER1 function
.TDO1(debug_tdo_i), // Data input for USER1 function
.TDO2( 1'b0) // Data input for USER2 function
);
 
260,9 → 263,9
.SEL1(debug_select_o), // USER1 active output
.SEL2(), // USER2 active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.UPDATE(update_bscan), // UPDATE output from TAP controller
.TDO1(debug_tdi_i), // Data input for USER1 function
.TDO1(debug_tdo_i), // Data input for USER1 function
.TDO2( 1'b0) // Data input for USER2 function
);
 
314,9 → 317,9
.SEL1(debug_select_o), // USER1 active output
.SEL2(), // USER2 active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.UPDATE(update_bscan), // UPDATE output from TAP controller
.TDO1(debug_tdi_i), // Data input for USER1 function
.TDO1(debug_tdo_i), // Data input for USER1 function
.TDO2( 1'b0 ) // Data input for USER2 function
);
 
356,9 → 359,9
.RESET(test_logic_reset_o), // Reset output from TAP controller
.SEL(debug_select_o), // USER active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.UPDATE(update_bscan), // UPDATE output from TAP controller
.TDO( debug_tdi_i ) // Data input for USER function
.TDO( debug_tdo_i ) // Data input for USER function
);
 
assign pause_dr_o = 1'b0;
397,9 → 400,9
.RESET(test_logic_reset), // Reset output from TAP controller
.SEL(debug_select_o), // USER active output
.SHIFT(shift_dr_o), // SHIFT output from TAP controller
.TDI(tdo_o), // TDI output from TAP controller
.TDI(tdi_o), // TDI output from TAP controller
.UPDATE(update_bscan), // UPDATE output from TAP controller
.TDO(debug_tdi_i) // Data input for USER function
.TDO(debug_tdo_i) // Data input for USER function
);
 
assign pause_dr_o = 1'b0;
/xilinx_internal_jtag/doc/xilinx_internal_jtag.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/xilinx_internal_jtag/doc/src/xilinx_internal_jtag.odt Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.