OpenCores
URL https://opencores.org/ocsvn/ag_6502/ag_6502/trunk

Subversion Repositories ag_6502

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ag_6502
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/trunk/digger/clkdiv.v
0,0 → 1,37
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 23:20:37 02/23/2012
// Design Name:
// Module Name: clkdiv
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
 
module clk_div(input clk, output clk1);
parameter divide = 16;
wire clk0;
 
DCM_SP #(
.CLKDV_DIVIDE(divide) // Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0,6.5
// 7.0,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0
) DCM_SP_inst (
.CLKDV(clk1), // Divided DCM CLK out (CLKDV_DIVIDE)
.CLKIN(clk), // Clock input (from IBUFG, BUFG or DCM)
.CLK0(clk0),
.CLKFB(clk0),
.RST(0)
);
 
endmodule
/trunk/digger/chip1.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/digger/chip1.bit Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/digger/ag_video.v =================================================================== --- trunk/digger/ag_video.v (nonexistent) +++ trunk/digger/ag_video.v (revision 5) @@ -0,0 +1,173 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Oleg Odintsov +// +// Create Date: 11:44:32 02/24/2012 +// Design Name: +// Module Name: ag_video +// Project Name: Agat Hardware Project +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +module FONT_ROM(input[10:0] adr, input cs, output[7:0] DO); + reg[7:0] mem[0:2047]; + assign DO = cs?mem[adr]:8'bZ; + initial begin + `include "agathe7.v" + end +endmodule + + +module ag_video(input clk50, + input[7:0] vmode, + output clk_vram, + output[13:0] AB2, input[15:0] DI2, + output[4:0] vga_bus); + parameter + HGR_WHITE = 4'b1111, // RGBX + HGR_BLACK = 4'b0000, + TEXT_COLOR= 4'b1111, + TEXT_BACK = 4'b0000; + + wire clk25; + assign clk_vram = ~clk25; + + wire[0:15] rDI2 = DI2; + +// assign AB2 = 14'b0; + + clk_div#2 cd2(clk50, clk25); + + + wire [9:0] hpos; + wire [8:0] vpos; + wire video_on; + + reg[8:0] hpos1; + reg[7:0] vpos1; + + wire[1:0] VTYPE = vmode[1:0]; + // for 64K+ - variant +// wire[2:0] PAGE_ADDR = {vmode[6], vmode[6]? 1'b0: vmode[5], vmode[4]}; + // for 32K-variant + wire[2:0] PAGE_ADDR = {0, vmode[5], vmode[4]}; + wire[1:0] SUBPAGE_ADDR = vmode[3:2]; + + wire VTYPE_HGR = (VTYPE == 2'b11); + wire VTYPE_MGR = (VTYPE == 2'b01); + wire VTYPE_LGR = (VTYPE == 2'b00); + wire VTYPE_TXT = (VTYPE == 2'b10); + wire VTYPE_T32 = VTYPE_TXT && !vmode[7]; + wire VTYPE_T64 = VTYPE_TXT && vmode[7]; + wire VTYPE_T64_INV = VTYPE_T64 && !SUBPAGE_ADDR[0]; + + wire[13:0] HGR_ADDR = {PAGE_ADDR[1:0], vpos1, hpos1[8:5]}; + wire[3:0] HGR_BITNO = hpos1[4:1]; + wire HGR_BIT = rDI2[HGR_BITNO]; + wire[3:0] HGR_COLOR = HGR_BIT? HGR_WHITE: HGR_BLACK; + + wire[13:0] MGR_ADDR = {PAGE_ADDR[1:0], vpos1[7:1], hpos1[8:4]}; + wire[1:0] MGR_BLOCKNO = hpos1[3:2]; + + wire[13:0] LGR_ADDR = {PAGE_ADDR[1:0], SUBPAGE_ADDR, vpos1[7:2], hpos1[8:5]}; + wire[1:0] LGR_BLOCKNO = hpos1[4:3]; + + wire[1:0] GR_BLOCKNO = VTYPE_MGR?MGR_BLOCKNO: + LGR_BLOCKNO; + + wire[3:0] GR_COLOR = (GR_BLOCKNO == 2'b00)? {DI2[12], DI2[13], DI2[14], DI2[15]}: + (GR_BLOCKNO == 2'b01)? {DI2[8], DI2[9], DI2[10], DI2[11]}: + (GR_BLOCKNO == 2'b10)? {DI2[4], DI2[5], DI2[6], DI2[7]}: + {DI2[0], DI2[1], DI2[2], DI2[3]}; + + wire[13:0] TEXT_ADDR = {PAGE_ADDR[1:0], SUBPAGE_ADDR, vpos1[7:3], hpos1[8:4]}; + + + wire h_phase = hpos1[1:0]?0:1; + reg[0:0] h_cnt = 0; + wire[0:0] h_delay = h_phase?1'd1:1'd0; + + wire v_phase = vpos1[2:0]?1:0; + reg[0:0] v_cnt = 0; + wire[0:0] v_delay = v_phase?1'd1:1'd0; + + wire[7:0] font_char; + wire[2:0] font_y, font_x; + wire[10:0] font_ab = {font_char, font_y}; + wire[0:7] font_db;// = 8'b0; + wire font_pix = font_db[font_x]; + + FONT_ROM font(font_ab, 1, font_db); + + + integer flash_cnt = 0; + reg flash_reg = 0; + wire inverse = VTYPE_T64?VTYPE_T64_INV:!{DI2[5],DI2[3]}, + flash = VTYPE_T64?font_db[7]:!{DI2[5],~DI2[3]}; + wire inv_mode = inverse || (flash && flash_reg); + + + assign font_x = VTYPE_T64?hpos1[2:0]:hpos1[3:1]; + assign font_y = vpos1[2:0]; + assign font_char = (VTYPE_T64 && hpos1[3])? DI2[7:0]: DI2[15:8]; + wire[3:0] T_COLOR = VTYPE_T64? TEXT_COLOR: {DI2[0], DI2[1], DI2[2], DI2[4]}; + + assign AB2 = VTYPE_HGR? HGR_ADDR: + VTYPE_MGR? MGR_ADDR: + VTYPE_LGR? LGR_ADDR: + TEXT_ADDR; + + wire[2:0] color = VTYPE_HGR? HGR_COLOR[3:1]: + (VTYPE_MGR | VTYPE_LGR)? GR_COLOR[3:1]: + ((font_pix^inv_mode)?T_COLOR[3:1]: TEXT_BACK); + + reg[2:0] color_reg; + + always @(posedge clk25) begin + if (!vga_bus[1]) begin + hpos1 <= 0; + h_cnt <= 1; + end else if (video_on) begin + if (!h_cnt) begin + h_cnt <= h_delay; + hpos1 <= hpos1 + 1; + end else h_cnt <= h_cnt - 1; + end + end + + always @(posedge clk25) color_reg <= color; + + always @(posedge video_on) begin + if (!vpos) begin + vpos1 <= 0; + v_cnt <= 1; + end else begin + if (!v_cnt) begin + v_cnt <= v_delay; + vpos1 <= vpos1 + 1; + end else v_cnt <= v_cnt - 1; + end + end + + always @(posedge vga_bus[0]) begin + if (flash_cnt) flash_cnt <= flash_cnt - 1; + else begin + flash_cnt <= 11; + flash_reg <= ~flash_reg; + end + end + + assign {vga_bus[4], vga_bus[3], vga_bus[2]} = video_on?color_reg:3'b000; + + video_counters cnt(clk25, vga_bus[0], vga_bus[1], video_on, hpos, vpos); +endmodule Index: trunk/digger/monitor7.v =================================================================== --- trunk/digger/monitor7.v (nonexistent) +++ trunk/digger/monitor7.v (revision 5) @@ -0,0 +1,2048 @@ + mem['h000] = 'h48; + mem['h001] = 'h4A; + mem['h002] = 'h4A; + mem['h003] = 'h4A; + mem['h004] = 'h4A; + mem['h005] = 'hA8; + mem['h006] = 'h68; + mem['h007] = 'h59; + mem['h008] = 'h19; + mem['h009] = 'hF8; + mem['h00A] = 'hC9; + mem['h00B] = 'hA0; + mem['h00C] = 'hB0; + mem['h00D] = 'h08; + mem['h00E] = 'hC9; + mem['h00F] = 'h90; + mem['h010] = 'h90; + mem['h011] = 'h04; + mem['h012] = 'hA8; + mem['h013] = 'hB9; + mem['h014] = 'h91; + mem['h015] = 'hF7; + mem['h016] = 'hA4; + mem['h017] = 'h24; + mem['h018] = 'h60; + mem['h019] = 'h80; + mem['h01A] = 'hB0; + mem['h01B] = 'hD0; + mem['h01C] = 'hD0; + mem['h01D] = 'hD0; + mem['h01E] = 'hE0; + mem['h01F] = 'hB0; + mem['h020] = 'hB0; + mem['h021] = 'h88; + mem['h022] = 'hA0; + mem['h023] = 'h8D; + mem['h024] = 'h93; + mem['h025] = 'h94; + mem['h026] = 'h98; + mem['h027] = 'h96; + mem['h028] = 'h97; + mem['h029] = 'h95; + mem['h02A] = 'h99; + mem['h02B] = 'h9A; + mem['h02C] = 'h9B; + mem['h02D] = 'h9C; + mem['h02E] = 'h9D; + mem['h02F] = 'h9E; + mem['h030] = 'h9B; + mem['h031] = 'h86; + mem['h032] = 'h2C; + mem['h033] = 'hBC; + mem['h034] = 'h5A; + mem['h035] = 'hF8; + mem['h036] = 'h0A; + mem['h037] = 'h0A; + mem['h038] = 'h48; + mem['h039] = 'h0A; + mem['h03A] = 'hC9; + mem['h03B] = 'hC0; + mem['h03C] = 'h90; + mem['h03D] = 'h02; + mem['h03E] = 'hE9; + mem['h03F] = 'h3F; + mem['h040] = 'h99; + mem['h041] = 'h00; + mem['h042] = 'h00; + mem['h043] = 'h7D; + mem['h044] = 'h56; + mem['h045] = 'hF8; + mem['h046] = 'h45; + mem['h047] = 'h1E; + mem['h048] = 'h29; + mem['h049] = 'hF8; + mem['h04A] = 'h45; + mem['h04B] = 'h1E; + mem['h04C] = 'h85; + mem['h04D] = 'h1E; + mem['h04E] = 'h68; + mem['h04F] = 'h65; + mem['h050] = 'h2C; + mem['h051] = 'hAA; + mem['h052] = 'h9D; + mem['h053] = 'h00; + mem['h054] = 'hC7; + mem['h055] = 'h60; + mem['h056] = 'h08; + mem['h057] = 'h20; + mem['h058] = 'h08; + mem['h059] = 'h20; + mem['h05A] = 'hD0; + mem['h05B] = 'hD0; + mem['h05C] = 'h19; + mem['h05D] = 'hD0; + mem['h05E] = 'h29; + mem['h05F] = 'h07; + mem['h060] = 'hA8; + mem['h061] = 'hB9; + mem['h062] = 'h69; + mem['h063] = 'hF8; + mem['h064] = 'hA8; + mem['h065] = 'h99; + mem['h066] = 'hF0; + mem['h067] = 'hC0; + mem['h068] = 'h60; + mem['h069] = 'h00; + mem['h06A] = 'h01; + mem['h06B] = 'h0A; + mem['h06C] = 'h0B; + mem['h06D] = 'h06; + mem['h06E] = 'h07; + mem['h06F] = 'h02; + mem['h070] = 'h03; + mem['h071] = 'hA6; + mem['h072] = 'h3A; + mem['h073] = 'hA4; + mem['h074] = 'h3B; + mem['h075] = 'h20; + mem['h076] = 'h7D; + mem['h077] = 'hFD; + mem['h078] = 'h20; + mem['h079] = 'h5A; + mem['h07A] = 'hFF; + mem['h07B] = 'hA1; + mem['h07C] = 'h3A; + mem['h07D] = 'hA8; + mem['h07E] = 'h4A; + mem['h07F] = 'h90; + mem['h080] = 'h09; + mem['h081] = 'h6A; + mem['h082] = 'hB0; + mem['h083] = 'h15; + mem['h084] = 'hC9; + mem['h085] = 'hA2; + mem['h086] = 'hF0; + mem['h087] = 'h11; + mem['h088] = 'h29; + mem['h089] = 'h87; + mem['h08A] = 'h4A; + mem['h08B] = 'hAA; + mem['h08C] = 'hBD; + mem['h08D] = 'h48; + mem['h08E] = 'hF9; + mem['h08F] = 'hB0; + mem['h090] = 'h04; + mem['h091] = 'h4A; + mem['h092] = 'h4A; + mem['h093] = 'h4A; + mem['h094] = 'h4A; + mem['h095] = 'h29; + mem['h096] = 'h0F; + mem['h097] = 'hD0; + mem['h098] = 'h04; + mem['h099] = 'hA0; + mem['h09A] = 'h80; + mem['h09B] = 'hA9; + mem['h09C] = 'h00; + mem['h09D] = 'hAA; + mem['h09E] = 'hBD; + mem['h09F] = 'h8C; + mem['h0A0] = 'hF9; + mem['h0A1] = 'h85; + mem['h0A2] = 'h2E; + mem['h0A3] = 'h29; + mem['h0A4] = 'h03; + mem['h0A5] = 'h85; + mem['h0A6] = 'h2F; + mem['h0A7] = 'h98; + mem['h0A8] = 'h29; + mem['h0A9] = 'h8F; + mem['h0AA] = 'hAA; + mem['h0AB] = 'h98; + mem['h0AC] = 'hA0; + mem['h0AD] = 'h03; + mem['h0AE] = 'hE0; + mem['h0AF] = 'h8A; + mem['h0B0] = 'hF0; + mem['h0B1] = 'h0B; + mem['h0B2] = 'h4A; + mem['h0B3] = 'h90; + mem['h0B4] = 'h08; + mem['h0B5] = 'h4A; + mem['h0B6] = 'h4A; + mem['h0B7] = 'h09; + mem['h0B8] = 'h20; + mem['h0B9] = 'h88; + mem['h0BA] = 'hD0; + mem['h0BB] = 'hFA; + mem['h0BC] = 'hC8; + mem['h0BD] = 'h88; + mem['h0BE] = 'hD0; + mem['h0BF] = 'hF2; + mem['h0C0] = 'h60; + mem['h0C1] = 'h20; + mem['h0C2] = 'h71; + mem['h0C3] = 'hF8; + mem['h0C4] = 'h48; + mem['h0C5] = 'hB1; + mem['h0C6] = 'h3A; + mem['h0C7] = 'h20; + mem['h0C8] = 'hC1; + mem['h0C9] = 'hFD; + mem['h0CA] = 'hA2; + mem['h0CB] = 'h01; + mem['h0CC] = 'h20; + mem['h0CD] = 'h5C; + mem['h0CE] = 'hFF; + mem['h0CF] = 'hC4; + mem['h0D0] = 'h2F; + mem['h0D1] = 'hC8; + mem['h0D2] = 'h90; + mem['h0D3] = 'hF1; + mem['h0D4] = 'hA2; + mem['h0D5] = 'h03; + mem['h0D6] = 'hC0; + mem['h0D7] = 'h04; + mem['h0D8] = 'h90; + mem['h0D9] = 'hF2; + mem['h0DA] = 'h68; + mem['h0DB] = 'hA8; + mem['h0DC] = 'hB9; + mem['h0DD] = 'hA6; + mem['h0DE] = 'hF9; + mem['h0DF] = 'h85; + mem['h0E0] = 'h2C; + mem['h0E1] = 'hB9; + mem['h0E2] = 'hE6; + mem['h0E3] = 'hF9; + mem['h0E4] = 'h85; + mem['h0E5] = 'h2D; + mem['h0E6] = 'hA9; + mem['h0E7] = 'h00; + mem['h0E8] = 'hA0; + mem['h0E9] = 'h05; + mem['h0EA] = 'h06; + mem['h0EB] = 'h2D; + mem['h0EC] = 'h26; + mem['h0ED] = 'h2C; + mem['h0EE] = 'h2A; + mem['h0EF] = 'h88; + mem['h0F0] = 'hD0; + mem['h0F1] = 'hF8; + mem['h0F2] = 'h69; + mem['h0F3] = 'hBF; + mem['h0F4] = 'h20; + mem['h0F5] = 'hD4; + mem['h0F6] = 'hFD; + mem['h0F7] = 'hCA; + mem['h0F8] = 'hD0; + mem['h0F9] = 'hEC; + mem['h0FA] = 'h20; + mem['h0FB] = 'h5A; + mem['h0FC] = 'hFF; + mem['h0FD] = 'hA4; + mem['h0FE] = 'h2F; + mem['h0FF] = 'hA2; + mem['h100] = 'h06; + mem['h101] = 'hE0; + mem['h102] = 'h03; + mem['h103] = 'hF0; + mem['h104] = 'h1C; + mem['h105] = 'h06; + mem['h106] = 'h2E; + mem['h107] = 'h90; + mem['h108] = 'h0E; + mem['h109] = 'hBD; + mem['h10A] = 'h99; + mem['h10B] = 'hF9; + mem['h10C] = 'h20; + mem['h10D] = 'hD4; + mem['h10E] = 'hFD; + mem['h10F] = 'hBD; + mem['h110] = 'h9F; + mem['h111] = 'hF9; + mem['h112] = 'hF0; + mem['h113] = 'h03; + mem['h114] = 'h20; + mem['h115] = 'hD4; + mem['h116] = 'hFD; + mem['h117] = 'hCA; + mem['h118] = 'hD0; + mem['h119] = 'hE7; + mem['h11A] = 'h60; + mem['h11B] = 'h88; + mem['h11C] = 'h30; + mem['h11D] = 'hE7; + mem['h11E] = 'h20; + mem['h11F] = 'hC1; + mem['h120] = 'hFD; + mem['h121] = 'hA5; + mem['h122] = 'h2E; + mem['h123] = 'hC9; + mem['h124] = 'hE8; + mem['h125] = 'hB1; + mem['h126] = 'h3A; + mem['h127] = 'h90; + mem['h128] = 'hF2; + mem['h129] = 'h20; + mem['h12A] = 'h3C; + mem['h12B] = 'hF9; + mem['h12C] = 'hAA; + mem['h12D] = 'hE8; + mem['h12E] = 'hD0; + mem['h12F] = 'h01; + mem['h130] = 'hC8; + mem['h131] = 'h98; + mem['h132] = 'h20; + mem['h133] = 'hC1; + mem['h134] = 'hFD; + mem['h135] = 'h8A; + mem['h136] = 'h4C; + mem['h137] = 'hC1; + mem['h138] = 'hFD; + mem['h139] = 'h38; + mem['h13A] = 'hA5; + mem['h13B] = 'h2F; + mem['h13C] = 'hA4; + mem['h13D] = 'h3B; + mem['h13E] = 'hAA; + mem['h13F] = 'h10; + mem['h140] = 'h01; + mem['h141] = 'h88; + mem['h142] = 'h65; + mem['h143] = 'h3A; + mem['h144] = 'h90; + mem['h145] = 'h01; + mem['h146] = 'hC8; + mem['h147] = 'h60; + mem['h148] = 'h40; + mem['h149] = 'h02; + mem['h14A] = 'h45; + mem['h14B] = 'h03; + mem['h14C] = 'hD0; + mem['h14D] = 'h08; + mem['h14E] = 'h40; + mem['h14F] = 'h09; + mem['h150] = 'h30; + mem['h151] = 'h22; + mem['h152] = 'h45; + mem['h153] = 'h33; + mem['h154] = 'hD0; + mem['h155] = 'h08; + mem['h156] = 'h40; + mem['h157] = 'h09; + mem['h158] = 'h40; + mem['h159] = 'h02; + mem['h15A] = 'h45; + mem['h15B] = 'h33; + mem['h15C] = 'hD0; + mem['h15D] = 'h08; + mem['h15E] = 'h40; + mem['h15F] = 'h09; + mem['h160] = 'h40; + mem['h161] = 'h02; + mem['h162] = 'h45; + mem['h163] = 'hB3; + mem['h164] = 'hD0; + mem['h165] = 'h08; + mem['h166] = 'h40; + mem['h167] = 'h09; + mem['h168] = 'h00; + mem['h169] = 'h22; + mem['h16A] = 'h44; + mem['h16B] = 'h33; + mem['h16C] = 'hD0; + mem['h16D] = 'h8C; + mem['h16E] = 'h44; + mem['h16F] = 'h00; + mem['h170] = 'h11; + mem['h171] = 'h22; + mem['h172] = 'h44; + mem['h173] = 'h33; + mem['h174] = 'hD0; + mem['h175] = 'h8C; + mem['h176] = 'h44; + mem['h177] = 'h9A; + mem['h178] = 'h10; + mem['h179] = 'h22; + mem['h17A] = 'h44; + mem['h17B] = 'h33; + mem['h17C] = 'hD0; + mem['h17D] = 'h08; + mem['h17E] = 'h40; + mem['h17F] = 'h09; + mem['h180] = 'h10; + mem['h181] = 'h22; + mem['h182] = 'h44; + mem['h183] = 'h33; + mem['h184] = 'hD0; + mem['h185] = 'h08; + mem['h186] = 'h40; + mem['h187] = 'h09; + mem['h188] = 'h62; + mem['h189] = 'h13; + mem['h18A] = 'h78; + mem['h18B] = 'hA9; + mem['h18C] = 'h00; + mem['h18D] = 'h21; + mem['h18E] = 'h81; + mem['h18F] = 'h82; + mem['h190] = 'h00; + mem['h191] = 'h00; + mem['h192] = 'h59; + mem['h193] = 'h4D; + mem['h194] = 'h91; + mem['h195] = 'h92; + mem['h196] = 'h86; + mem['h197] = 'h4A; + mem['h198] = 'h85; + mem['h199] = 'h9D; + mem['h19A] = 'hAC; + mem['h19B] = 'hA9; + mem['h19C] = 'hAC; + mem['h19D] = 'hA3; + mem['h19E] = 'hA8; + mem['h19F] = 'hA4; + mem['h1A0] = 'hD9; + mem['h1A1] = 'h00; + mem['h1A2] = 'hD8; + mem['h1A3] = 'hA4; + mem['h1A4] = 'hA4; + mem['h1A5] = 'h00; + mem['h1A6] = 'h1C; + mem['h1A7] = 'h8A; + mem['h1A8] = 'h1C; + mem['h1A9] = 'h23; + mem['h1AA] = 'h5D; + mem['h1AB] = 'h8B; + mem['h1AC] = 'h1B; + mem['h1AD] = 'hA1; + mem['h1AE] = 'h9D; + mem['h1AF] = 'h8A; + mem['h1B0] = 'h1D; + mem['h1B1] = 'h23; + mem['h1B2] = 'h9D; + mem['h1B3] = 'h8B; + mem['h1B4] = 'h1D; + mem['h1B5] = 'hA1; + mem['h1B6] = 'h00; + mem['h1B7] = 'h29; + mem['h1B8] = 'h19; + mem['h1B9] = 'hAE; + mem['h1BA] = 'h69; + mem['h1BB] = 'hA8; + mem['h1BC] = 'h19; + mem['h1BD] = 'h23; + mem['h1BE] = 'h24; + mem['h1BF] = 'h53; + mem['h1C0] = 'h1B; + mem['h1C1] = 'h23; + mem['h1C2] = 'h24; + mem['h1C3] = 'h53; + mem['h1C4] = 'h19; + mem['h1C5] = 'hA1; + mem['h1C6] = 'h00; + mem['h1C7] = 'h1A; + mem['h1C8] = 'h5B; + mem['h1C9] = 'h5B; + mem['h1CA] = 'hA5; + mem['h1CB] = 'h69; + mem['h1CC] = 'h24; + mem['h1CD] = 'h24; + mem['h1CE] = 'hAE; + mem['h1CF] = 'hAE; + mem['h1D0] = 'hA8; + mem['h1D1] = 'hAD; + mem['h1D2] = 'h29; + mem['h1D3] = 'h00; + mem['h1D4] = 'h7C; + mem['h1D5] = 'h00; + mem['h1D6] = 'h15; + mem['h1D7] = 'h9C; + mem['h1D8] = 'h6D; + mem['h1D9] = 'h9C; + mem['h1DA] = 'hA5; + mem['h1DB] = 'h69; + mem['h1DC] = 'h29; + mem['h1DD] = 'h53; + mem['h1DE] = 'h84; + mem['h1DF] = 'h13; + mem['h1E0] = 'h34; + mem['h1E1] = 'h11; + mem['h1E2] = 'hA5; + mem['h1E3] = 'h69; + mem['h1E4] = 'h23; + mem['h1E5] = 'hA0; + mem['h1E6] = 'hD8; + mem['h1E7] = 'h62; + mem['h1E8] = 'h5A; + mem['h1E9] = 'h48; + mem['h1EA] = 'h26; + mem['h1EB] = 'h62; + mem['h1EC] = 'h94; + mem['h1ED] = 'h88; + mem['h1EE] = 'h54; + mem['h1EF] = 'h44; + mem['h1F0] = 'hC8; + mem['h1F1] = 'h54; + mem['h1F2] = 'h68; + mem['h1F3] = 'h44; + mem['h1F4] = 'hE8; + mem['h1F5] = 'h94; + mem['h1F6] = 'h00; + mem['h1F7] = 'hB4; + mem['h1F8] = 'h08; + mem['h1F9] = 'h84; + mem['h1FA] = 'h74; + mem['h1FB] = 'hB4; + mem['h1FC] = 'h28; + mem['h1FD] = 'h6E; + mem['h1FE] = 'h74; + mem['h1FF] = 'hF4; + mem['h200] = 'hCC; + mem['h201] = 'h4A; + mem['h202] = 'h72; + mem['h203] = 'hF2; + mem['h204] = 'hA4; + mem['h205] = 'h8A; + mem['h206] = 'h00; + mem['h207] = 'hAA; + mem['h208] = 'hA2; + mem['h209] = 'hA2; + mem['h20A] = 'h74; + mem['h20B] = 'h74; + mem['h20C] = 'h74; + mem['h20D] = 'h72; + mem['h20E] = 'h44; + mem['h20F] = 'h68; + mem['h210] = 'hB2; + mem['h211] = 'h32; + mem['h212] = 'hB2; + mem['h213] = 'h00; + mem['h214] = 'h22; + mem['h215] = 'h00; + mem['h216] = 'h1A; + mem['h217] = 'h1A; + mem['h218] = 'h26; + mem['h219] = 'h26; + mem['h21A] = 'h72; + mem['h21B] = 'h72; + mem['h21C] = 'h88; + mem['h21D] = 'hC8; + mem['h21E] = 'hC4; + mem['h21F] = 'hCA; + mem['h220] = 'h26; + mem['h221] = 'h48; + mem['h222] = 'h44; + mem['h223] = 'h44; + mem['h224] = 'hA2; + mem['h225] = 'hC8; + mem['h226] = 'h85; + mem['h227] = 'h45; + mem['h228] = 'h68; + mem['h229] = 'h48; + mem['h22A] = 'h0A; + mem['h22B] = 'h0A; + mem['h22C] = 'h0A; + mem['h22D] = 'h30; + mem['h22E] = 'h03; + mem['h22F] = 'h6C; + mem['h230] = 'hFE; + mem['h231] = 'h03; + mem['h232] = 'h28; + mem['h233] = 'h20; + mem['h234] = 'h4D; + mem['h235] = 'hFF; + mem['h236] = 'h68; + mem['h237] = 'h85; + mem['h238] = 'h3A; + mem['h239] = 'h68; + mem['h23A] = 'h85; + mem['h23B] = 'h3B; + mem['h23C] = 'h6C; + mem['h23D] = 'hF0; + mem['h23E] = 'h03; + mem['h23F] = 'h20; + mem['h240] = 'h71; + mem['h241] = 'hF8; + mem['h242] = 'h20; + mem['h243] = 'hD0; + mem['h244] = 'hFA; + mem['h245] = 'h4C; + mem['h246] = 'h65; + mem['h247] = 'hFF; + mem['h248] = 'hD8; + mem['h249] = 'h20; + mem['h24A] = 'h7B; + mem['h24B] = 'hFE; + mem['h24C] = 'h20; + mem['h24D] = 'h3C; + mem['h24E] = 'hFB; + mem['h24F] = 'h20; + mem['h250] = 'h8A; + mem['h251] = 'hFE; + mem['h252] = 'h20; + mem['h253] = 'h80; + mem['h254] = 'hFE; + mem['h255] = 'h2C; + mem['h256] = 'h10; + mem['h257] = 'hC0; + mem['h258] = 'hD8; + mem['h259] = 'h20; + mem['h25A] = 'h3B; + mem['h25B] = 'hFF; + mem['h25C] = 'hAD; + mem['h25D] = 'hF3; + mem['h25E] = 'h03; + mem['h25F] = 'h49; + mem['h260] = 'hA5; + mem['h261] = 'hCD; + mem['h262] = 'hF4; + mem['h263] = 'h03; + mem['h264] = 'hD0; + mem['h265] = 'h1C; + mem['h266] = 'h20; + mem['h267] = 'h2E; + mem['h268] = 'hFB; + mem['h269] = 'hAD; + mem['h26A] = 'hF2; + mem['h26B] = 'h03; + mem['h26C] = 'hC9; + mem['h26D] = 'h69; + mem['h26E] = 'hD0; + mem['h26F] = 'h0F; + mem['h270] = 'hA9; + mem['h271] = 'hFF; + mem['h272] = 'hCD; + mem['h273] = 'hF3; + mem['h274] = 'h03; + mem['h275] = 'hD0; + mem['h276] = 'h08; + mem['h277] = 'hA0; + mem['h278] = 'h69; + mem['h279] = 'h8C; + mem['h27A] = 'hF2; + mem['h27B] = 'h03; + mem['h27C] = 'h4C; + mem['h27D] = 'h69; + mem['h27E] = 'hFF; + mem['h27F] = 'h6C; + mem['h280] = 'hF2; + mem['h281] = 'h03; + mem['h282] = 'h2C; + mem['h283] = 'hF1; + mem['h284] = 'hC0; + mem['h285] = 'hA0; + mem['h286] = 'h00; + mem['h287] = 'h84; + mem['h288] = 'h1E; + mem['h289] = 'hA9; + mem['h28A] = 'h0F; + mem['h28B] = 'hA2; + mem['h28C] = 'h02; + mem['h28D] = 'h20; + mem['h28E] = 'h31; + mem['h28F] = 'hF8; + mem['h290] = 'h20; + mem['h291] = 'h3B; + mem['h292] = 'hFC; + mem['h293] = 'hA0; + mem['h294] = 'h14; + mem['h295] = 'hB9; + mem['h296] = 'hFE; + mem['h297] = 'hFA; + mem['h298] = 'h99; + mem['h299] = 'h0F; + mem['h29A] = 'h78; + mem['h29B] = 'h88; + mem['h29C] = 'hD0; + mem['h29D] = 'hF7; + mem['h29E] = 'h2C; + mem['h29F] = 'hF0; + mem['h2A0] = 'hC0; + mem['h2A1] = 'hA2; + mem['h2A2] = 'h05; + mem['h2A3] = 'hBD; + mem['h2A4] = 'hF2; + mem['h2A5] = 'hFA; + mem['h2A6] = 'h9D; + mem['h2A7] = 'hEF; + mem['h2A8] = 'h03; + mem['h2A9] = 'hCA; + mem['h2AA] = 'hD0; + mem['h2AB] = 'hF7; + mem['h2AC] = 'hA9; + mem['h2AD] = 'hC7; + mem['h2AE] = 'h86; + mem['h2AF] = 'h00; + mem['h2B0] = 'h85; + mem['h2B1] = 'h01; + mem['h2B2] = 'hA0; + mem['h2B3] = 'h07; + mem['h2B4] = 'hC6; + mem['h2B5] = 'h01; + mem['h2B6] = 'hA5; + mem['h2B7] = 'h01; + mem['h2B8] = 'hC9; + mem['h2B9] = 'hC0; + mem['h2BA] = 'hF0; + mem['h2BB] = 'hBB; + mem['h2BC] = 'h8D; + mem['h2BD] = 'hF8; + mem['h2BE] = 'h07; + mem['h2BF] = 'hB1; + mem['h2C0] = 'h00; + mem['h2C1] = 'hD9; + mem['h2C2] = 'hF7; + mem['h2C3] = 'hFA; + mem['h2C4] = 'hD0; + mem['h2C5] = 'hEC; + mem['h2C6] = 'h88; + mem['h2C7] = 'h88; + mem['h2C8] = 'h10; + mem['h2C9] = 'hF5; + mem['h2CA] = 'h6C; + mem['h2CB] = 'h00; + mem['h2CC] = 'h00; + mem['h2CD] = 'h20; + mem['h2CE] = 'h75; + mem['h2CF] = 'hFD; + mem['h2D0] = 'hA9; + mem['h2D1] = 'h45; + mem['h2D2] = 'h85; + mem['h2D3] = 'h40; + mem['h2D4] = 'hA9; + mem['h2D5] = 'h00; + mem['h2D6] = 'h85; + mem['h2D7] = 'h41; + mem['h2D8] = 'hA2; + mem['h2D9] = 'hFB; + mem['h2DA] = 'hA9; + mem['h2DB] = 'hA0; + mem['h2DC] = 'h20; + mem['h2DD] = 'hD4; + mem['h2DE] = 'hFD; + mem['h2DF] = 'hBD; + mem['h2E0] = 'h18; + mem['h2E1] = 'hFA; + mem['h2E2] = 'h20; + mem['h2E3] = 'hD4; + mem['h2E4] = 'hFD; + mem['h2E5] = 'hA9; + mem['h2E6] = 'hBD; + mem['h2E7] = 'h20; + mem['h2E8] = 'hD4; + mem['h2E9] = 'hFD; + mem['h2EA] = 'hB5; + mem['h2EB] = 'h4A; + mem['h2EC] = 'h20; + mem['h2ED] = 'hC1; + mem['h2EE] = 'hFD; + mem['h2EF] = 'hE8; + mem['h2F0] = 'h30; + mem['h2F1] = 'hE8; + mem['h2F2] = 'h60; + mem['h2F3] = 'h3F; + mem['h2F4] = 'hFA; + mem['h2F5] = 'h69; + mem['h2F6] = 'hFF; + mem['h2F7] = 'h5A; + mem['h2F8] = 'h20; + mem['h2F9] = 'hFF; + mem['h2FA] = 'h00; + mem['h2FB] = 'hFF; + mem['h2FC] = 'h03; + mem['h2FD] = 'hFF; + mem['h2FE] = 'h3C; + mem['h2FF] = 'hAA; + mem['h300] = 'h2A; + mem['h301] = 'hAA; + mem['h302] = 'h2A; + mem['h303] = 'hA0; + mem['h304] = 'h2F; + mem['h305] = 'hE1; + mem['h306] = 'h29; + mem['h307] = 'hE7; + mem['h308] = 'h29; + mem['h309] = 'hE1; + mem['h30A] = 'h29; + mem['h30B] = 'hF4; + mem['h30C] = 'h29; + mem['h30D] = 'hA0; + mem['h30E] = 'h2F; + mem['h30F] = 'hAA; + mem['h310] = 'h2A; + mem['h311] = 'hAA; + mem['h312] = 'h2A; + mem['h313] = 'hC1; + mem['h314] = 'hD8; + mem['h315] = 'hD9; + mem['h316] = 'hD0; + mem['h317] = 'hD3; + mem['h318] = 'hAD; + mem['h319] = 'h70; + mem['h31A] = 'hC0; + mem['h31B] = 'hA0; + mem['h31C] = 'h00; + mem['h31D] = 'hEA; + mem['h31E] = 'hEA; + mem['h31F] = 'hBD; + mem['h320] = 'h64; + mem['h321] = 'hC0; + mem['h322] = 'h10; + mem['h323] = 'h07; + mem['h324] = 'hEA; + mem['h325] = 'hEA; + mem['h326] = 'hEA; + mem['h327] = 'hC8; + mem['h328] = 'hD0; + mem['h329] = 'hF5; + mem['h32A] = 'h88; + mem['h32B] = 'h60; + mem['h32C] = 'h10; + mem['h32D] = 'h08; + mem['h32E] = 'hA5; + mem['h32F] = 'h19; + mem['h330] = 'h4A; + mem['h331] = 'h90; + mem['h332] = 'h02; + mem['h333] = 'h69; + mem['h334] = 'h1F; + mem['h335] = 'h69; + mem['h336] = 'h02; + mem['h337] = 'hA8; + mem['h338] = 'h99; + mem['h339] = 'h00; + mem['h33A] = 'hC7; + mem['h33B] = 'h60; + mem['h33C] = 'hA9; + mem['h33D] = 'h00; + mem['h33E] = 'h85; + mem['h33F] = 'h48; + mem['h340] = 'h85; + mem['h341] = 'h22; + mem['h342] = 'hA9; + mem['h343] = 'h00; + mem['h344] = 'h85; + mem['h345] = 'h20; + mem['h346] = 'hA9; + mem['h347] = 'h40; + mem['h348] = 'h85; + mem['h349] = 'h21; + mem['h34A] = 'hA9; + mem['h34B] = 'h20; + mem['h34C] = 'h85; + mem['h34D] = 'h23; + mem['h34E] = 'hA9; + mem['h34F] = 'h1F; + mem['h350] = 'h85; + mem['h351] = 'h25; + mem['h352] = 'h4C; + mem['h353] = 'h2F; + mem['h354] = 'hFC; + mem['h355] = 'h20; + mem['h356] = 'h76; + mem['h357] = 'hFB; + mem['h358] = 'h20; + mem['h359] = 'h04; + mem['h35A] = 'hFD; + mem['h35B] = 'hC9; + mem['h35C] = 'h95; + mem['h35D] = 'hF0; + mem['h35E] = 'hF6; + mem['h35F] = 'hC9; + mem['h360] = 'h88; + mem['h361] = 'hF0; + mem['h362] = 'hF2; + mem['h363] = 'h20; + mem['h364] = 'h76; + mem['h365] = 'hFB; + mem['h366] = 'h20; + mem['h367] = 'h04; + mem['h368] = 'hFD; + mem['h369] = 'hC9; + mem['h36A] = 'h9B; + mem['h36B] = 'hF0; + mem['h36C] = 'hEB; + mem['h36D] = 'hC9; + mem['h36E] = 'h99; + mem['h36F] = 'hF0; + mem['h370] = 'hF2; + mem['h371] = 'hC9; + mem['h372] = 'h9A; + mem['h373] = 'hF0; + mem['h374] = 'hEE; + mem['h375] = 'h60; + mem['h376] = 'hC9; + mem['h377] = 'hA0; + mem['h378] = 'hB0; + mem['h379] = 'hFB; + mem['h37A] = 'h4C; + mem['h37B] = 'hD4; + mem['h37C] = 'hFD; + mem['h37D] = 'h85; + mem['h37E] = 'h29; + mem['h37F] = 'hA9; + mem['h380] = 'h00; + mem['h381] = 'h85; + mem['h382] = 'h28; + mem['h383] = 'h46; + mem['h384] = 'h29; + mem['h385] = 'h66; + mem['h386] = 'h28; + mem['h387] = 'h46; + mem['h388] = 'h29; + mem['h389] = 'h66; + mem['h38A] = 'h28; + mem['h38B] = 'hA5; + mem['h38C] = 'h19; + mem['h38D] = 'h29; + mem['h38E] = 'hF8; + mem['h38F] = 'h65; + mem['h390] = 'h29; + mem['h391] = 'h85; + mem['h392] = 'h29; + mem['h393] = 'h60; + mem['h394] = 'h38; + mem['h395] = 'h48; + mem['h396] = 'hE9; + mem['h397] = 'h01; + mem['h398] = 'hD0; + mem['h399] = 'hFC; + mem['h39A] = 'h68; + mem['h39B] = 'hE9; + mem['h39C] = 'h01; + mem['h39D] = 'hD0; + mem['h39E] = 'hF6; + mem['h39F] = 'h60; + mem['h3A0] = 'hE6; + mem['h3A1] = 'h42; + mem['h3A2] = 'hD0; + mem['h3A3] = 'h02; + mem['h3A4] = 'hE6; + mem['h3A5] = 'h43; + mem['h3A6] = 'hA5; + mem['h3A7] = 'h3C; + mem['h3A8] = 'hC5; + mem['h3A9] = 'h3E; + mem['h3AA] = 'hA5; + mem['h3AB] = 'h3D; + mem['h3AC] = 'hE5; + mem['h3AD] = 'h3F; + mem['h3AE] = 'hE6; + mem['h3AF] = 'h3C; + mem['h3B0] = 'hD0; + mem['h3B1] = 'h02; + mem['h3B2] = 'hE6; + mem['h3B3] = 'h3D; + mem['h3B4] = 'h60; + mem['h3B5] = 'h8D; + mem['h3B6] = 'h8A; + mem['h3B7] = 'h88; + mem['h3B8] = 'h95; + mem['h3B9] = 'h99; + mem['h3BA] = 'h9A; + mem['h3BB] = 'h8C; + mem['h3BC] = 'h9D; + mem['h3BD] = 'h9E; + mem['h3BE] = 'h87; + mem['h3BF] = 'h9C; + mem['h3C0] = 'h5B; + mem['h3C1] = 'h5B; + mem['h3C2] = 'h19; + mem['h3C3] = 'h0E; + mem['h3C4] = 'h27; + mem['h3C5] = 'h5F; + mem['h3C6] = 'h3B; + mem['h3C7] = 'h96; + mem['h3C8] = 'h45; + mem['h3C9] = 'hAE; + mem['h3CA] = 'hA7; + mem['h3CB] = 'hC9; + mem['h3CC] = 'h8D; + mem['h3CD] = 'hD0; + mem['h3CE] = 'h18; + mem['h3CF] = 'hAC; + mem['h3D0] = 'h00; + mem['h3D1] = 'hC0; + mem['h3D2] = 'h10; + mem['h3D3] = 'h13; + mem['h3D4] = 'h2C; + mem['h3D5] = 'h10; + mem['h3D6] = 'hC0; + mem['h3D7] = 'hC0; + mem['h3D8] = 'hA0; + mem['h3D9] = 'hD0; + mem['h3DA] = 'h0C; + mem['h3DB] = 'hAC; + mem['h3DC] = 'h00; + mem['h3DD] = 'hC0; + mem['h3DE] = 'h10; + mem['h3DF] = 'hFB; + mem['h3E0] = 'hC0; + mem['h3E1] = 'h83; + mem['h3E2] = 'hF0; + mem['h3E3] = 'h03; + mem['h3E4] = 'h2C; + mem['h3E5] = 'h10; + mem['h3E6] = 'hC0; + mem['h3E7] = 'hC9; + mem['h3E8] = 'hA0; + mem['h3E9] = 'hB0; + mem['h3EA] = 'h1A; + mem['h3EB] = 'hA8; + mem['h3EC] = 'h10; + mem['h3ED] = 'h17; + mem['h3EE] = 'hA0; + mem['h3EF] = 'h0A; + mem['h3F0] = 'hD9; + mem['h3F1] = 'hB5; + mem['h3F2] = 'hFB; + mem['h3F3] = 'hF0; + mem['h3F4] = 'h04; + mem['h3F5] = 'h88; + mem['h3F6] = 'h10; + mem['h3F7] = 'hF8; + mem['h3F8] = 'h60; + mem['h3F9] = 'hB9; + mem['h3FA] = 'hC0; + mem['h3FB] = 'hFB; + mem['h3FC] = 'h85; + mem['h3FD] = 'h2A; + mem['h3FE] = 'hA9; + mem['h3FF] = 'hFC; + mem['h400] = 'h85; + mem['h401] = 'h2B; + mem['h402] = 'h6C; + mem['h403] = 'h2A; + mem['h404] = 'h00; + mem['h405] = 'hA4; + mem['h406] = 'h24; + mem['h407] = 'h91; + mem['h408] = 'h28; + mem['h409] = 'hC8; + mem['h40A] = 'hA5; + mem['h40B] = 'h32; + mem['h40C] = 'h91; + mem['h40D] = 'h28; + mem['h40E] = 'hE6; + mem['h40F] = 'h24; + mem['h410] = 'hE6; + mem['h411] = 'h24; + mem['h412] = 'hA5; + mem['h413] = 'h24; + mem['h414] = 'hC5; + mem['h415] = 'h21; + mem['h416] = 'hB0; + mem['h417] = 'h43; + mem['h418] = 'h60; + mem['h419] = 'hC6; + mem['h41A] = 'h24; + mem['h41B] = 'hC6; + mem['h41C] = 'h24; + mem['h41D] = 'h10; + mem['h41E] = 'hF9; + mem['h41F] = 'hA5; + mem['h420] = 'h21; + mem['h421] = 'h85; + mem['h422] = 'h24; + mem['h423] = 'hC6; + mem['h424] = 'h24; + mem['h425] = 'hC6; + mem['h426] = 'h24; + mem['h427] = 'hA5; + mem['h428] = 'h22; + mem['h429] = 'hC5; + mem['h42A] = 'h25; + mem['h42B] = 'hB0; + mem['h42C] = 'h0D; + mem['h42D] = 'hC6; + mem['h42E] = 'h25; + mem['h42F] = 'hA5; + mem['h430] = 'h25; + mem['h431] = 'h20; + mem['h432] = 'h7D; + mem['h433] = 'hFB; + mem['h434] = 'hA5; + mem['h435] = 'h28; + mem['h436] = 'h65; + mem['h437] = 'h20; + mem['h438] = 'h85; + mem['h439] = 'h28; + mem['h43A] = 'h60; + mem['h43B] = 'hA5; + mem['h43C] = 'h22; + mem['h43D] = 'h85; + mem['h43E] = 'h25; + mem['h43F] = 'hA0; + mem['h440] = 'h00; + mem['h441] = 'h84; + mem['h442] = 'h24; + mem['h443] = 'hF0; + mem['h444] = 'h04; + mem['h445] = 'hA4; + mem['h446] = 'h24; + mem['h447] = 'hA5; + mem['h448] = 'h25; + mem['h449] = 'h48; + mem['h44A] = 'h20; + mem['h44B] = 'h31; + mem['h44C] = 'hFC; + mem['h44D] = 'h20; + mem['h44E] = 'h98; + mem['h44F] = 'hFC; + mem['h450] = 'hA0; + mem['h451] = 'h00; + mem['h452] = 'h68; + mem['h453] = 'h69; + mem['h454] = 'h00; + mem['h455] = 'hC5; + mem['h456] = 'h23; + mem['h457] = 'h90; + mem['h458] = 'hF0; + mem['h459] = 'hB0; + mem['h45A] = 'hD4; + mem['h45B] = 'hA9; + mem['h45C] = 'h00; + mem['h45D] = 'h85; + mem['h45E] = 'h24; + mem['h45F] = 'hE6; + mem['h460] = 'h25; + mem['h461] = 'hA5; + mem['h462] = 'h25; + mem['h463] = 'hC5; + mem['h464] = 'h23; + mem['h465] = 'h90; + mem['h466] = 'hCA; + mem['h467] = 'hC6; + mem['h468] = 'h25; + mem['h469] = 'hA5; + mem['h46A] = 'h22; + mem['h46B] = 'h48; + mem['h46C] = 'h20; + mem['h46D] = 'h31; + mem['h46E] = 'hFC; + mem['h46F] = 'hA5; + mem['h470] = 'h28; + mem['h471] = 'h85; + mem['h472] = 'h2A; + mem['h473] = 'hA5; + mem['h474] = 'h29; + mem['h475] = 'h85; + mem['h476] = 'h2B; + mem['h477] = 'hA4; + mem['h478] = 'h21; + mem['h479] = 'h88; + mem['h47A] = 'h68; + mem['h47B] = 'h69; + mem['h47C] = 'h01; + mem['h47D] = 'hC5; + mem['h47E] = 'h23; + mem['h47F] = 'hB0; + mem['h480] = 'h0D; + mem['h481] = 'h48; + mem['h482] = 'h20; + mem['h483] = 'h31; + mem['h484] = 'hFC; + mem['h485] = 'hB1; + mem['h486] = 'h28; + mem['h487] = 'h91; + mem['h488] = 'h2A; + mem['h489] = 'h88; + mem['h48A] = 'h10; + mem['h48B] = 'hF9; + mem['h48C] = 'h30; + mem['h48D] = 'hE1; + mem['h48E] = 'hA0; + mem['h48F] = 'h00; + mem['h490] = 'h20; + mem['h491] = 'h98; + mem['h492] = 'hFC; + mem['h493] = 'h4C; + mem['h494] = 'h2F; + mem['h495] = 'hFC; + mem['h496] = 'hA4; + mem['h497] = 'h24; + mem['h498] = 'hA9; + mem['h499] = 'hA0; + mem['h49A] = 'h91; + mem['h49B] = 'h28; + mem['h49C] = 'hC8; + mem['h49D] = 'hA5; + mem['h49E] = 'h32; + mem['h49F] = 'h91; + mem['h4A0] = 'h28; + mem['h4A1] = 'hC8; + mem['h4A2] = 'hC4; + mem['h4A3] = 'h21; + mem['h4A4] = 'h90; + mem['h4A5] = 'hF2; + mem['h4A6] = 'h60; + mem['h4A7] = 'hA5; + mem['h4A8] = 'h32; + mem['h4A9] = 'h49; + mem['h4AA] = 'h80; + mem['h4AB] = 'h85; + mem['h4AC] = 'h32; + mem['h4AD] = 'h60; + mem['h4AE] = 'hA9; + mem['h4AF] = 'h40; + mem['h4B0] = 'h20; + mem['h4B1] = 'h94; + mem['h4B2] = 'hFB; + mem['h4B3] = 'hA0; + mem['h4B4] = 'hC0; + mem['h4B5] = 'hA9; + mem['h4B6] = 'h0C; + mem['h4B7] = 'h20; + mem['h4B8] = 'h94; + mem['h4B9] = 'hFB; + mem['h4BA] = 'hAD; + mem['h4BB] = 'h30; + mem['h4BC] = 'hC0; + mem['h4BD] = 'h88; + mem['h4BE] = 'hD0; + mem['h4BF] = 'hF5; + mem['h4C0] = 'h60; + mem['h4C1] = 'hA0; + mem['h4C2] = 'h4B; + mem['h4C3] = 'h20; + mem['h4C4] = 'hD3; + mem['h4C5] = 'hFC; + mem['h4C6] = 'hD0; + mem['h4C7] = 'hF9; + mem['h4C8] = 'h69; + mem['h4C9] = 'hFE; + mem['h4CA] = 'hB0; + mem['h4CB] = 'hF5; + mem['h4CC] = 'hA0; + mem['h4CD] = 'h21; + mem['h4CE] = 'h20; + mem['h4CF] = 'hD3; + mem['h4D0] = 'hFC; + mem['h4D1] = 'hC8; + mem['h4D2] = 'hC8; + mem['h4D3] = 'h88; + mem['h4D4] = 'hD0; + mem['h4D5] = 'hFD; + mem['h4D6] = 'h90; + mem['h4D7] = 'h05; + mem['h4D8] = 'hA0; + mem['h4D9] = 'h32; + mem['h4DA] = 'h88; + mem['h4DB] = 'hD0; + mem['h4DC] = 'hFD; + mem['h4DD] = 'hAC; + mem['h4DE] = 'h20; + mem['h4DF] = 'hC0; + mem['h4E0] = 'hA0; + mem['h4E1] = 'h2C; + mem['h4E2] = 'hCA; + mem['h4E3] = 'h60; + mem['h4E4] = 'hA2; + mem['h4E5] = 'h08; + mem['h4E6] = 'h48; + mem['h4E7] = 'h20; + mem['h4E8] = 'hF2; + mem['h4E9] = 'hFC; + mem['h4EA] = 'h68; + mem['h4EB] = 'h2A; + mem['h4EC] = 'hA0; + mem['h4ED] = 'h3A; + mem['h4EE] = 'hCA; + mem['h4EF] = 'hD0; + mem['h4F0] = 'hF5; + mem['h4F1] = 'h60; + mem['h4F2] = 'h20; + mem['h4F3] = 'hF5; + mem['h4F4] = 'hFC; + mem['h4F5] = 'h88; + mem['h4F6] = 'hAD; + mem['h4F7] = 'h60; + mem['h4F8] = 'hC0; + mem['h4F9] = 'h45; + mem['h4FA] = 'h2F; + mem['h4FB] = 'h10; + mem['h4FC] = 'hF8; + mem['h4FD] = 'h45; + mem['h4FE] = 'h2F; + mem['h4FF] = 'h85; + mem['h500] = 'h2F; + mem['h501] = 'hC0; + mem['h502] = 'h80; + mem['h503] = 'h60; + mem['h504] = 'h6C; + mem['h505] = 'h38; + mem['h506] = 'h00; + mem['h507] = 'hA5; + mem['h508] = 'h19; + mem['h509] = 'h20; + mem['h50A] = 'h5E; + mem['h50B] = 'hF8; + mem['h50C] = 'hA4; + mem['h50D] = 'h24; + mem['h50E] = 'hB1; + mem['h50F] = 'h28; + mem['h510] = 'h85; + mem['h511] = 'h35; + mem['h512] = 'hC8; + mem['h513] = 'hB1; + mem['h514] = 'h28; + mem['h515] = 'h48; + mem['h516] = 'hA9; + mem['h517] = 'h0F; + mem['h518] = 'h91; + mem['h519] = 'h28; + mem['h51A] = 'h68; + mem['h51B] = 'hE6; + mem['h51C] = 'h4E; + mem['h51D] = 'hD0; + mem['h51E] = 'h02; + mem['h51F] = 'hE6; + mem['h520] = 'h4F; + mem['h521] = 'h2C; + mem['h522] = 'h00; + mem['h523] = 'hC0; + mem['h524] = 'h10; + mem['h525] = 'hF5; + mem['h526] = 'h91; + mem['h527] = 'h28; + mem['h528] = 'hA5; + mem['h529] = 'h1E; + mem['h52A] = 'h20; + mem['h52B] = 'h5E; + mem['h52C] = 'hF8; + mem['h52D] = 'hAD; + mem['h52E] = 'h00; + mem['h52F] = 'hC0; + mem['h530] = 'h09; + mem['h531] = 'h80; + mem['h532] = 'h2C; + mem['h533] = 'h10; + mem['h534] = 'hC0; + mem['h535] = 'hA4; + mem['h536] = 'h24; + mem['h537] = 'h60; + mem['h538] = 'h20; + mem['h539] = 'hD4; + mem['h53A] = 'hFD; + mem['h53B] = 'hC9; + mem['h53C] = 'h88; + mem['h53D] = 'hF0; + mem['h53E] = 'h1D; + mem['h53F] = 'hC9; + mem['h540] = 'h98; + mem['h541] = 'hF0; + mem['h542] = 'h0A; + mem['h543] = 'hE0; + mem['h544] = 'hF8; + mem['h545] = 'h90; + mem['h546] = 'h03; + mem['h547] = 'h20; + mem['h548] = 'h3B; + mem['h549] = 'hFF; + mem['h54A] = 'hE8; + mem['h54B] = 'hD0; + mem['h54C] = 'h13; + mem['h54D] = 'hA9; + mem['h54E] = 'hDC; + mem['h54F] = 'h20; + mem['h550] = 'hD4; + mem['h551] = 'hFD; + mem['h552] = 'h20; + mem['h553] = 'h75; + mem['h554] = 'hFD; + mem['h555] = 'hA5; + mem['h556] = 'h33; + mem['h557] = 'h20; + mem['h558] = 'hD4; + mem['h559] = 'hFD; + mem['h55A] = 'hA2; + mem['h55B] = 'h01; + mem['h55C] = 'h8A; + mem['h55D] = 'hF0; + mem['h55E] = 'hF3; + mem['h55F] = 'hCA; + mem['h560] = 'h20; + mem['h561] = 'h66; + mem['h562] = 'hFB; + mem['h563] = 'hC9; + mem['h564] = 'h95; + mem['h565] = 'hD0; + mem['h566] = 'h02; + mem['h567] = 'hA5; + mem['h568] = 'h35; + mem['h569] = 'h9D; + mem['h56A] = 'h00; + mem['h56B] = 'h02; + mem['h56C] = 'hC9; + mem['h56D] = 'h8D; + mem['h56E] = 'hD0; + mem['h56F] = 'hC8; + mem['h570] = 'hA9; + mem['h571] = 'h9D; + mem['h572] = 'h20; + mem['h573] = 'hD4; + mem['h574] = 'hFD; + mem['h575] = 'hA9; + mem['h576] = 'h8D; + mem['h577] = 'hD0; + mem['h578] = 'h5B; + mem['h579] = 'hA4; + mem['h57A] = 'h3D; + mem['h57B] = 'hA6; + mem['h57C] = 'h3C; + mem['h57D] = 'h20; + mem['h57E] = 'h75; + mem['h57F] = 'hFD; + mem['h580] = 'h20; + mem['h581] = 'h31; + mem['h582] = 'hF9; + mem['h583] = 'hA0; + mem['h584] = 'h00; + mem['h585] = 'hA9; + mem['h586] = 'hAD; + mem['h587] = 'h4C; + mem['h588] = 'hD4; + mem['h589] = 'hFD; + mem['h58A] = 'hA5; + mem['h58B] = 'h3C; + mem['h58C] = 'h09; + mem['h58D] = 'h07; + mem['h58E] = 'h85; + mem['h58F] = 'h3E; + mem['h590] = 'hA5; + mem['h591] = 'h3D; + mem['h592] = 'h85; + mem['h593] = 'h3F; + mem['h594] = 'hA5; + mem['h595] = 'h3C; + mem['h596] = 'h29; + mem['h597] = 'h07; + mem['h598] = 'hD0; + mem['h599] = 'h03; + mem['h59A] = 'h20; + mem['h59B] = 'h79; + mem['h59C] = 'hFD; + mem['h59D] = 'hA9; + mem['h59E] = 'hA0; + mem['h59F] = 'h20; + mem['h5A0] = 'hD4; + mem['h5A1] = 'hFD; + mem['h5A2] = 'hB1; + mem['h5A3] = 'h3C; + mem['h5A4] = 'h20; + mem['h5A5] = 'hC1; + mem['h5A6] = 'hFD; + mem['h5A7] = 'h20; + mem['h5A8] = 'hA6; + mem['h5A9] = 'hFB; + mem['h5AA] = 'h90; + mem['h5AB] = 'hE8; + mem['h5AC] = 'h60; + mem['h5AD] = 'h4A; + mem['h5AE] = 'h90; + mem['h5AF] = 'hEA; + mem['h5B0] = 'h4A; + mem['h5B1] = 'h4A; + mem['h5B2] = 'hA5; + mem['h5B3] = 'h3E; + mem['h5B4] = 'h90; + mem['h5B5] = 'h02; + mem['h5B6] = 'h49; + mem['h5B7] = 'hFF; + mem['h5B8] = 'h65; + mem['h5B9] = 'h3C; + mem['h5BA] = 'h48; + mem['h5BB] = 'hA9; + mem['h5BC] = 'hBD; + mem['h5BD] = 'h20; + mem['h5BE] = 'hD4; + mem['h5BF] = 'hFD; + mem['h5C0] = 'h68; + mem['h5C1] = 'h48; + mem['h5C2] = 'h4A; + mem['h5C3] = 'h4A; + mem['h5C4] = 'h4A; + mem['h5C5] = 'h4A; + mem['h5C6] = 'h20; + mem['h5C7] = 'hCC; + mem['h5C8] = 'hFD; + mem['h5C9] = 'h68; + mem['h5CA] = 'h29; + mem['h5CB] = 'h0F; + mem['h5CC] = 'h09; + mem['h5CD] = 'hB0; + mem['h5CE] = 'hC9; + mem['h5CF] = 'hBA; + mem['h5D0] = 'h90; + mem['h5D1] = 'h02; + mem['h5D2] = 'h69; + mem['h5D3] = 'h06; + mem['h5D4] = 'h6C; + mem['h5D5] = 'h36; + mem['h5D6] = 'h00; + mem['h5D7] = 'h84; + mem['h5D8] = 'h35; + mem['h5D9] = 'h48; + mem['h5DA] = 'hA5; + mem['h5DB] = 'h19; + mem['h5DC] = 'h20; + mem['h5DD] = 'h5E; + mem['h5DE] = 'hF8; + mem['h5DF] = 'h68; + mem['h5E0] = 'h48; + mem['h5E1] = 'hC9; + mem['h5E2] = 'hA0; + mem['h5E3] = 'h90; + mem['h5E4] = 'h06; + mem['h5E5] = 'h24; + mem['h5E6] = 'h32; + mem['h5E7] = 'h30; + mem['h5E8] = 'h02; + mem['h5E9] = 'h29; + mem['h5EA] = 'h7F; + mem['h5EB] = 'h20; + mem['h5EC] = 'hCB; + mem['h5ED] = 'hFB; + mem['h5EE] = 'hA5; + mem['h5EF] = 'h1E; + mem['h5F0] = 'h20; + mem['h5F1] = 'h5E; + mem['h5F2] = 'hF8; + mem['h5F3] = 'h68; + mem['h5F4] = 'hA4; + mem['h5F5] = 'h35; + mem['h5F6] = 'h60; + mem['h5F7] = 'h8A; + mem['h5F8] = 'hF0; + mem['h5F9] = 'h07; + mem['h5FA] = 'hB5; + mem['h5FB] = 'h3C; + mem['h5FC] = 'h95; + mem['h5FD] = 'h3A; + mem['h5FE] = 'hCA; + mem['h5FF] = 'h10; + mem['h600] = 'hF9; + mem['h601] = 'h60; + mem['h602] = 'hC6; + mem['h603] = 'h34; + mem['h604] = 'hF0; + mem['h605] = 'h84; + mem['h606] = 'hCA; + mem['h607] = 'hD0; + mem['h608] = 'h16; + mem['h609] = 'hC9; + mem['h60A] = 'hBA; + mem['h60B] = 'hD0; + mem['h60C] = 'hA0; + mem['h60D] = 'h85; + mem['h60E] = 'h31; + mem['h60F] = 'hA5; + mem['h610] = 'h3E; + mem['h611] = 'h91; + mem['h612] = 'h40; + mem['h613] = 'hE6; + mem['h614] = 'h40; + mem['h615] = 'hD0; + mem['h616] = 'h02; + mem['h617] = 'hE6; + mem['h618] = 'h41; + mem['h619] = 'h60; + mem['h61A] = 'hA4; + mem['h61B] = 'h34; + mem['h61C] = 'hB9; + mem['h61D] = 'hFF; + mem['h61E] = 'h01; + mem['h61F] = 'h85; + mem['h620] = 'h31; + mem['h621] = 'h60; + mem['h622] = 'hA2; + mem['h623] = 'h01; + mem['h624] = 'hB5; + mem['h625] = 'h3E; + mem['h626] = 'h95; + mem['h627] = 'h42; + mem['h628] = 'h95; + mem['h629] = 'h44; + mem['h62A] = 'hCA; + mem['h62B] = 'h10; + mem['h62C] = 'hF7; + mem['h62D] = 'h60; + mem['h62E] = 'hB1; + mem['h62F] = 'h3C; + mem['h630] = 'h91; + mem['h631] = 'h42; + mem['h632] = 'h20; + mem['h633] = 'hA0; + mem['h634] = 'hFB; + mem['h635] = 'h90; + mem['h636] = 'hF7; + mem['h637] = 'h60; + mem['h638] = 'hB1; + mem['h639] = 'h3C; + mem['h63A] = 'hD1; + mem['h63B] = 'h42; + mem['h63C] = 'hF0; + mem['h63D] = 'h1C; + mem['h63E] = 'h20; + mem['h63F] = 'h79; + mem['h640] = 'hFD; + mem['h641] = 'hB1; + mem['h642] = 'h3C; + mem['h643] = 'h20; + mem['h644] = 'hC1; + mem['h645] = 'hFD; + mem['h646] = 'hA9; + mem['h647] = 'hA0; + mem['h648] = 'h20; + mem['h649] = 'hD4; + mem['h64A] = 'hFD; + mem['h64B] = 'hA9; + mem['h64C] = 'hA8; + mem['h64D] = 'h20; + mem['h64E] = 'hD4; + mem['h64F] = 'hFD; + mem['h650] = 'hB1; + mem['h651] = 'h42; + mem['h652] = 'h20; + mem['h653] = 'hC1; + mem['h654] = 'hFD; + mem['h655] = 'hA9; + mem['h656] = 'hA9; + mem['h657] = 'h20; + mem['h658] = 'hD4; + mem['h659] = 'hFD; + mem['h65A] = 'h20; + mem['h65B] = 'hA0; + mem['h65C] = 'hFB; + mem['h65D] = 'h90; + mem['h65E] = 'hD9; + mem['h65F] = 'h60; + mem['h660] = 'h20; + mem['h661] = 'hF7; + mem['h662] = 'hFD; + mem['h663] = 'hA9; + mem['h664] = 'h1C; + mem['h665] = 'h48; + mem['h666] = 'h20; + mem['h667] = 'hC1; + mem['h668] = 'hF8; + mem['h669] = 'h20; + mem['h66A] = 'h39; + mem['h66B] = 'hF9; + mem['h66C] = 'h85; + mem['h66D] = 'h3A; + mem['h66E] = 'h84; + mem['h66F] = 'h3B; + mem['h670] = 'h68; + mem['h671] = 'h38; + mem['h672] = 'hE9; + mem['h673] = 'h01; + mem['h674] = 'hD0; + mem['h675] = 'hEF; + mem['h676] = 'h60; + mem['h677] = 'hA0; + mem['h678] = 'h87; + mem['h679] = 'hD0; + mem['h67A] = 'h02; + mem['h67B] = 'hA0; + mem['h67C] = 'hAF; + mem['h67D] = 'h84; + mem['h67E] = 'h32; + mem['h67F] = 'h60; + mem['h680] = 'hA9; + mem['h681] = 'h00; + mem['h682] = 'h85; + mem['h683] = 'h3E; + mem['h684] = 'hA2; + mem['h685] = 'h38; + mem['h686] = 'hA0; + mem['h687] = 'h07; + mem['h688] = 'hD0; + mem['h689] = 'h08; + mem['h68A] = 'hA9; + mem['h68B] = 'h00; + mem['h68C] = 'h85; + mem['h68D] = 'h3E; + mem['h68E] = 'hA2; + mem['h68F] = 'h36; + mem['h690] = 'hA0; + mem['h691] = 'hD7; + mem['h692] = 'hA5; + mem['h693] = 'h3E; + mem['h694] = 'h29; + mem['h695] = 'h0F; + mem['h696] = 'hF0; + mem['h697] = 'h06; + mem['h698] = 'h09; + mem['h699] = 'hC0; + mem['h69A] = 'hA0; + mem['h69B] = 'h00; + mem['h69C] = 'hF0; + mem['h69D] = 'h02; + mem['h69E] = 'hA9; + mem['h69F] = 'hFD; + mem['h6A0] = 'h94; + mem['h6A1] = 'h00; + mem['h6A2] = 'h95; + mem['h6A3] = 'h01; + mem['h6A4] = 'h60; + mem['h6A5] = 'h4C; + mem['h6A6] = 'h00; + mem['h6A7] = 'hE0; + mem['h6A8] = 'h4C; + mem['h6A9] = 'h03; + mem['h6AA] = 'hE0; + mem['h6AB] = 'h20; + mem['h6AC] = 'hF7; + mem['h6AD] = 'hFD; + mem['h6AE] = 'h20; + mem['h6AF] = 'h40; + mem['h6B0] = 'hFF; + mem['h6B1] = 'h6C; + mem['h6B2] = 'h3A; + mem['h6B3] = 'h00; + mem['h6B4] = 'h4C; + mem['h6B5] = 'hCD; + mem['h6B6] = 'hFA; + mem['h6B7] = 'h4C; + mem['h6B8] = 'hF8; + mem['h6B9] = 'h03; + mem['h6BA] = 'hA5; + mem['h6BB] = 'h3E; + mem['h6BC] = 'h29; + mem['h6BD] = 'h1F; + mem['h6BE] = 'hA2; + mem['h6BF] = 'h02; + mem['h6C0] = 'h4C; + mem['h6C1] = 'h31; + mem['h6C2] = 'hF8; + mem['h6C3] = 'hA5; + mem['h6C4] = 'h3E; + mem['h6C5] = 'h45; + mem['h6C6] = 'h32; + mem['h6C7] = 'h29; + mem['h6C8] = 'h07; + mem['h6C9] = 'h45; + mem['h6CA] = 'h32; + mem['h6CB] = 'h85; + mem['h6CC] = 'h32; + mem['h6CD] = 'h60; + mem['h6CE] = 'hA9; + mem['h6CF] = 'h40; + mem['h6D0] = 'h20; + mem['h6D1] = 'hC1; + mem['h6D2] = 'hFC; + mem['h6D3] = 'hA0; + mem['h6D4] = 'h27; + mem['h6D5] = 'hA2; + mem['h6D6] = 'h00; + mem['h6D7] = 'h41; + mem['h6D8] = 'h3C; + mem['h6D9] = 'h48; + mem['h6DA] = 'hA1; + mem['h6DB] = 'h3C; + mem['h6DC] = 'h20; + mem['h6DD] = 'hEE; + mem['h6DE] = 'hFE; + mem['h6DF] = 'h20; + mem['h6E0] = 'hA6; + mem['h6E1] = 'hFB; + mem['h6E2] = 'hA0; + mem['h6E3] = 'h1D; + mem['h6E4] = 'h68; + mem['h6E5] = 'h90; + mem['h6E6] = 'hEE; + mem['h6E7] = 'hA0; + mem['h6E8] = 'h22; + mem['h6E9] = 'h20; + mem['h6EA] = 'hEE; + mem['h6EB] = 'hFE; + mem['h6EC] = 'hF0; + mem['h6ED] = 'h4D; + mem['h6EE] = 'hA2; + mem['h6EF] = 'h10; + mem['h6F0] = 'h0A; + mem['h6F1] = 'h20; + mem['h6F2] = 'hCE; + mem['h6F3] = 'hFC; + mem['h6F4] = 'hD0; + mem['h6F5] = 'hFA; + mem['h6F6] = 'h60; + mem['h6F7] = 'h20; + mem['h6F8] = 'h02; + mem['h6F9] = 'hFE; + mem['h6FA] = 'h68; + mem['h6FB] = 'h68; + mem['h6FC] = 'hD0; + mem['h6FD] = 'h6B; + mem['h6FE] = 'h20; + mem['h6FF] = 'hF2; + mem['h700] = 'hFC; + mem['h701] = 'hA9; + mem['h702] = 'h16; + mem['h703] = 'h20; + mem['h704] = 'hC1; + mem['h705] = 'hFC; + mem['h706] = 'h85; + mem['h707] = 'h2E; + mem['h708] = 'h20; + mem['h709] = 'hF2; + mem['h70A] = 'hFC; + mem['h70B] = 'hA0; + mem['h70C] = 'h24; + mem['h70D] = 'h20; + mem['h70E] = 'hF5; + mem['h70F] = 'hFC; + mem['h710] = 'hB0; + mem['h711] = 'hF9; + mem['h712] = 'h20; + mem['h713] = 'hF5; + mem['h714] = 'hFC; + mem['h715] = 'hA0; + mem['h716] = 'h3B; + mem['h717] = 'h20; + mem['h718] = 'hE4; + mem['h719] = 'hFC; + mem['h71A] = 'h81; + mem['h71B] = 'h3C; + mem['h71C] = 'h45; + mem['h71D] = 'h2E; + mem['h71E] = 'h85; + mem['h71F] = 'h2E; + mem['h720] = 'h20; + mem['h721] = 'hA6; + mem['h722] = 'hFB; + mem['h723] = 'hA0; + mem['h724] = 'h35; + mem['h725] = 'h90; + mem['h726] = 'hF0; + mem['h727] = 'h20; + mem['h728] = 'hE4; + mem['h729] = 'hFC; + mem['h72A] = 'hC5; + mem['h72B] = 'h2E; + mem['h72C] = 'hF0; + mem['h72D] = 'h0D; + mem['h72E] = 'hA9; + mem['h72F] = 'hC5; + mem['h730] = 'h20; + mem['h731] = 'hD4; + mem['h732] = 'hFD; + mem['h733] = 'hA9; + mem['h734] = 'hD2; + mem['h735] = 'h20; + mem['h736] = 'hD4; + mem['h737] = 'hFD; + mem['h738] = 'h20; + mem['h739] = 'hD4; + mem['h73A] = 'hFD; + mem['h73B] = 'hA9; + mem['h73C] = 'h87; + mem['h73D] = 'h4C; + mem['h73E] = 'hD4; + mem['h73F] = 'hFD; + mem['h740] = 'hA5; + mem['h741] = 'h48; + mem['h742] = 'h48; + mem['h743] = 'hA5; + mem['h744] = 'h45; + mem['h745] = 'hA6; + mem['h746] = 'h46; + mem['h747] = 'hA4; + mem['h748] = 'h47; + mem['h749] = 'h28; + mem['h74A] = 'h60; + mem['h74B] = 'h85; + mem['h74C] = 'h45; + mem['h74D] = 'h86; + mem['h74E] = 'h46; + mem['h74F] = 'h84; + mem['h750] = 'h47; + mem['h751] = 'h08; + mem['h752] = 'h68; + mem['h753] = 'h85; + mem['h754] = 'h48; + mem['h755] = 'hBA; + mem['h756] = 'h86; + mem['h757] = 'h49; + mem['h758] = 'hD8; + mem['h759] = 'h60; + mem['h75A] = 'hA2; + mem['h75B] = 'h02; + mem['h75C] = 'hA9; + mem['h75D] = 'hA0; + mem['h75E] = 'h20; + mem['h75F] = 'hD4; + mem['h760] = 'hFD; + mem['h761] = 'hCA; + mem['h762] = 'hD0; + mem['h763] = 'hFA; + mem['h764] = 'h60; + mem['h765] = 'hD8; + mem['h766] = 'h20; + mem['h767] = 'h3B; + mem['h768] = 'hFF; + mem['h769] = 'hA9; + mem['h76A] = 'hAA; + mem['h76B] = 'h85; + mem['h76C] = 'h33; + mem['h76D] = 'h20; + mem['h76E] = 'h52; + mem['h76F] = 'hFD; + mem['h770] = 'h20; + mem['h771] = 'hC7; + mem['h772] = 'hFF; + mem['h773] = 'h20; + mem['h774] = 'hA7; + mem['h775] = 'hFF; + mem['h776] = 'h84; + mem['h777] = 'h34; + mem['h778] = 'hA0; + mem['h779] = 'h17; + mem['h77A] = 'h88; + mem['h77B] = 'h30; + mem['h77C] = 'hE8; + mem['h77D] = 'hD9; + mem['h77E] = 'hCC; + mem['h77F] = 'hFF; + mem['h780] = 'hD0; + mem['h781] = 'hF8; + mem['h782] = 'h20; + mem['h783] = 'hBE; + mem['h784] = 'hFF; + mem['h785] = 'hA4; + mem['h786] = 'h34; + mem['h787] = 'h4C; + mem['h788] = 'h73; + mem['h789] = 'hFF; + mem['h78A] = 'hA2; + mem['h78B] = 'h03; + mem['h78C] = 'h0A; + mem['h78D] = 'h0A; + mem['h78E] = 'h0A; + mem['h78F] = 'h0A; + mem['h790] = 'h0A; + mem['h791] = 'h26; + mem['h792] = 'h3E; + mem['h793] = 'h26; + mem['h794] = 'h3F; + mem['h795] = 'hCA; + mem['h796] = 'h10; + mem['h797] = 'hF8; + mem['h798] = 'hA5; + mem['h799] = 'h31; + mem['h79A] = 'hD0; + mem['h79B] = 'h06; + mem['h79C] = 'hB5; + mem['h79D] = 'h3F; + mem['h79E] = 'h95; + mem['h79F] = 'h3D; + mem['h7A0] = 'h95; + mem['h7A1] = 'h41; + mem['h7A2] = 'hE8; + mem['h7A3] = 'hF0; + mem['h7A4] = 'hF3; + mem['h7A5] = 'hD0; + mem['h7A6] = 'h06; + mem['h7A7] = 'hA2; + mem['h7A8] = 'h00; + mem['h7A9] = 'h86; + mem['h7AA] = 'h3E; + mem['h7AB] = 'h86; + mem['h7AC] = 'h3F; + mem['h7AD] = 'hB9; + mem['h7AE] = 'h00; + mem['h7AF] = 'h02; + mem['h7B0] = 'hC8; + mem['h7B1] = 'h49; + mem['h7B2] = 'hB0; + mem['h7B3] = 'hC9; + mem['h7B4] = 'h0A; + mem['h7B5] = 'h90; + mem['h7B6] = 'hD3; + mem['h7B7] = 'h69; + mem['h7B8] = 'h88; + mem['h7B9] = 'hC9; + mem['h7BA] = 'hFA; + mem['h7BB] = 'hB0; + mem['h7BC] = 'hCD; + mem['h7BD] = 'h60; + mem['h7BE] = 'hA9; + mem['h7BF] = 'hFE; + mem['h7C0] = 'h48; + mem['h7C1] = 'hB9; + mem['h7C2] = 'hE3; + mem['h7C3] = 'hFF; + mem['h7C4] = 'h48; + mem['h7C5] = 'hA5; + mem['h7C6] = 'h31; + mem['h7C7] = 'hA0; + mem['h7C8] = 'h00; + mem['h7C9] = 'h84; + mem['h7CA] = 'h31; + mem['h7CB] = 'h60; + mem['h7CC] = 'hBC; + mem['h7CD] = 'hB2; + mem['h7CE] = 'hBE; + mem['h7CF] = 'hEF; + mem['h7D0] = 'hE9; + mem['h7D1] = 'h08; + mem['h7D2] = 'hC2; + mem['h7D3] = 'hC8; + mem['h7D4] = 'hBB; + mem['h7D5] = 'hA6; + mem['h7D6] = 'hA4; + mem['h7D7] = 'h06; + mem['h7D8] = 'h95; + mem['h7D9] = 'h07; + mem['h7DA] = 'h02; + mem['h7DB] = 'h05; + mem['h7DC] = 'hF0; + mem['h7DD] = 'h00; + mem['h7DE] = 'hEB; + mem['h7DF] = 'h93; + mem['h7E0] = 'hA7; + mem['h7E1] = 'hC6; + mem['h7E2] = 'h99; + mem['h7E3] = 'hA7; + mem['h7E4] = 'hB6; + mem['h7E5] = 'hB3; + mem['h7E6] = 'h37; + mem['h7E7] = 'hB9; + mem['h7E8] = 'hC2; + mem['h7E9] = 'h83; + mem['h7EA] = 'h8D; + mem['h7EB] = 'hA4; + mem['h7EC] = 'h19; + mem['h7ED] = 'h19; + mem['h7EE] = 'h2D; + mem['h7EF] = 'h21; + mem['h7F0] = 'h7A; + mem['h7F1] = 'h76; + mem['h7F2] = 'h5F; + mem['h7F3] = 'hCD; + mem['h7F4] = 'hAA; + mem['h7F5] = 'hFD; + mem['h7F6] = 'h19; + mem['h7F7] = 'h19; + mem['h7F8] = 'hF6; + mem['h7F9] = 'h05; + mem['h7FA] = 'hFB; + mem['h7FB] = 'h03; + mem['h7FC] = 'h48; + mem['h7FD] = 'hFA; + mem['h7FE] = 'h26; + mem['h7FF] = 'hFA; Index: trunk/digger/digger.mcs =================================================================== --- trunk/digger/digger.mcs (nonexistent) +++ trunk/digger/digger.mcs (revision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ndex: trunk/digger/AGATHE7.V =================================================================== --- trunk/digger/AGATHE7.V (nonexistent) +++ trunk/digger/AGATHE7.V (revision 5) @@ -0,0 +1,2048 @@ + mem['h000] = 'h00; + mem['h001] = 'h00; + mem['h002] = 'h00; + mem['h003] = 'h00; + mem['h004] = 'h00; + mem['h005] = 'h00; + mem['h006] = 'h00; + mem['h007] = 'h00; + mem['h008] = 'h00; + mem['h009] = 'h00; + mem['h00A] = 'h00; + mem['h00B] = 'h00; + mem['h00C] = 'h00; + mem['h00D] = 'h00; + mem['h00E] = 'h00; + mem['h00F] = 'h00; + mem['h010] = 'h00; + mem['h011] = 'h00; + mem['h012] = 'h00; + mem['h013] = 'h00; + mem['h014] = 'h00; + mem['h015] = 'h00; + mem['h016] = 'h00; + mem['h017] = 'h00; + mem['h018] = 'h00; + mem['h019] = 'h00; + mem['h01A] = 'h00; + mem['h01B] = 'h00; + mem['h01C] = 'h00; + mem['h01D] = 'h00; + mem['h01E] = 'h00; + mem['h01F] = 'h00; + mem['h020] = 'h00; + mem['h021] = 'h00; + mem['h022] = 'h00; + mem['h023] = 'h00; + mem['h024] = 'h00; + mem['h025] = 'h00; + mem['h026] = 'h00; + mem['h027] = 'h00; + mem['h028] = 'h00; + mem['h029] = 'h00; + mem['h02A] = 'h00; + mem['h02B] = 'h00; + mem['h02C] = 'h00; + mem['h02D] = 'h00; + mem['h02E] = 'h00; + mem['h02F] = 'h00; + mem['h030] = 'h00; + mem['h031] = 'h00; + mem['h032] = 'h00; + mem['h033] = 'h00; + mem['h034] = 'h00; + mem['h035] = 'h00; + mem['h036] = 'h00; + mem['h037] = 'h00; + mem['h038] = 'h00; + mem['h039] = 'h00; + mem['h03A] = 'h00; + mem['h03B] = 'h00; + mem['h03C] = 'h00; + mem['h03D] = 'h00; + mem['h03E] = 'h00; + mem['h03F] = 'h00; + mem['h040] = 'h00; + mem['h041] = 'h00; + mem['h042] = 'h00; + mem['h043] = 'h00; + mem['h044] = 'h00; + mem['h045] = 'h00; + mem['h046] = 'h00; + mem['h047] = 'h00; + mem['h048] = 'h00; + mem['h049] = 'h00; + mem['h04A] = 'h00; + mem['h04B] = 'h00; + mem['h04C] = 'h00; + mem['h04D] = 'h00; + mem['h04E] = 'h00; + mem['h04F] = 'h00; + mem['h050] = 'h00; + mem['h051] = 'h00; + mem['h052] = 'h00; + mem['h053] = 'h00; + mem['h054] = 'h00; + mem['h055] = 'h00; + mem['h056] = 'h00; + mem['h057] = 'h00; + mem['h058] = 'h00; + mem['h059] = 'h00; + mem['h05A] = 'h00; + mem['h05B] = 'h00; + mem['h05C] = 'h00; + mem['h05D] = 'h00; + mem['h05E] = 'h00; + mem['h05F] = 'h00; + mem['h060] = 'h00; + mem['h061] = 'h00; + mem['h062] = 'h00; + mem['h063] = 'h00; + mem['h064] = 'h00; + mem['h065] = 'h00; + mem['h066] = 'h00; + mem['h067] = 'h00; + mem['h068] = 'h00; + mem['h069] = 'h00; + mem['h06A] = 'h00; + mem['h06B] = 'h00; + mem['h06C] = 'h00; + mem['h06D] = 'h00; + mem['h06E] = 'h00; + mem['h06F] = 'h00; + mem['h070] = 'h00; + mem['h071] = 'h00; + mem['h072] = 'h00; + mem['h073] = 'h00; + mem['h074] = 'h00; + mem['h075] = 'h00; + mem['h076] = 'h00; + mem['h077] = 'h00; + mem['h078] = 'h00; + mem['h079] = 'h00; + mem['h07A] = 'h00; + mem['h07B] = 'h00; + mem['h07C] = 'h00; + mem['h07D] = 'h00; + mem['h07E] = 'h00; + mem['h07F] = 'h00; + mem['h080] = 'h00; + mem['h081] = 'h00; + mem['h082] = 'h00; + mem['h083] = 'h7C; + mem['h084] = 'h00; + mem['h085] = 'h00; + mem['h086] = 'h00; + mem['h087] = 'h00; + mem['h088] = 'h00; + mem['h089] = 'h00; + mem['h08A] = 'h00; + mem['h08B] = 'h7C; + mem['h08C] = 'h00; + mem['h08D] = 'h00; + mem['h08E] = 'h00; + mem['h08F] = 'h00; + mem['h090] = 'h00; + mem['h091] = 'h00; + mem['h092] = 'h00; + mem['h093] = 'hF0; + mem['h094] = 'h10; + mem['h095] = 'h10; + mem['h096] = 'h10; + mem['h097] = 'h10; + mem['h098] = 'h10; + mem['h099] = 'h10; + mem['h09A] = 'h10; + mem['h09B] = 'h10; + mem['h09C] = 'h10; + mem['h09D] = 'h10; + mem['h09E] = 'h10; + mem['h09F] = 'h10; + mem['h0A0] = 'h3C; + mem['h0A1] = 'h54; + mem['h0A2] = 'h54; + mem['h0A3] = 'h34; + mem['h0A4] = 'h14; + mem['h0A5] = 'h14; + mem['h0A6] = 'h14; + mem['h0A7] = 'h00; + mem['h0A8] = 'h00; + mem['h0A9] = 'h00; + mem['h0AA] = 'h08; + mem['h0AB] = 'hFC; + mem['h0AC] = 'h08; + mem['h0AD] = 'h00; + mem['h0AE] = 'h00; + mem['h0AF] = 'h00; + mem['h0B0] = 'h00; + mem['h0B1] = 'h00; + mem['h0B2] = 'h00; + mem['h0B3] = 'h00; + mem['h0B4] = 'h7C; + mem['h0B5] = 'h7C; + mem['h0B6] = 'h7C; + mem['h0B7] = 'h00; + mem['h0B8] = 'h10; + mem['h0B9] = 'h38; + mem['h0BA] = 'h10; + mem['h0BB] = 'h10; + mem['h0BC] = 'h38; + mem['h0BD] = 'h10; + mem['h0BE] = 'h7C; + mem['h0BF] = 'h00; + mem['h0C0] = 'h00; + mem['h0C1] = 'h10; + mem['h0C2] = 'h38; + mem['h0C3] = 'h10; + mem['h0C4] = 'h10; + mem['h0C5] = 'h10; + mem['h0C6] = 'h10; + mem['h0C7] = 'h10; + mem['h0C8] = 'h10; + mem['h0C9] = 'h10; + mem['h0CA] = 'h10; + mem['h0CB] = 'h10; + mem['h0CC] = 'h10; + mem['h0CD] = 'h38; + mem['h0CE] = 'h10; + mem['h0CF] = 'h00; + mem['h0D0] = 'h00; + mem['h0D1] = 'h00; + mem['h0D2] = 'h08; + mem['h0D3] = 'hFC; + mem['h0D4] = 'h08; + mem['h0D5] = 'h00; + mem['h0D6] = 'h00; + mem['h0D7] = 'h00; + mem['h0D8] = 'h00; + mem['h0D9] = 'h00; + mem['h0DA] = 'h00; + mem['h0DB] = 'h7C; + mem['h0DC] = 'h00; + mem['h0DD] = 'h00; + mem['h0DE] = 'h00; + mem['h0DF] = 'h00; + mem['h0E0] = 'h10; + mem['h0E1] = 'h10; + mem['h0E2] = 'h10; + mem['h0E3] = 'h10; + mem['h0E4] = 'h10; + mem['h0E5] = 'h10; + mem['h0E6] = 'h10; + mem['h0E7] = 'h10; + mem['h0E8] = 'h40; + mem['h0E9] = 'h40; + mem['h0EA] = 'h40; + mem['h0EB] = 'h40; + mem['h0EC] = 'h40; + mem['h0ED] = 'h40; + mem['h0EE] = 'h7C; + mem['h0EF] = 'h00; + mem['h0F0] = 'h00; + mem['h0F1] = 'h00; + mem['h0F2] = 'h00; + mem['h0F3] = 'h7C; + mem['h0F4] = 'h00; + mem['h0F5] = 'h00; + mem['h0F6] = 'h00; + mem['h0F7] = 'h00; + mem['h0F8] = 'h00; + mem['h0F9] = 'h00; + mem['h0FA] = 'h00; + mem['h0FB] = 'h7C; + mem['h0FC] = 'h00; + mem['h0FD] = 'h00; + mem['h0FE] = 'h00; + mem['h0FF] = 'h00; + mem['h100] = 'h00; + mem['h101] = 'h00; + mem['h102] = 'h00; + mem['h103] = 'h00; + mem['h104] = 'h00; + mem['h105] = 'h00; + mem['h106] = 'h00; + mem['h107] = 'h00; + mem['h108] = 'h10; + mem['h109] = 'h10; + mem['h10A] = 'h10; + mem['h10B] = 'h10; + mem['h10C] = 'h10; + mem['h10D] = 'h00; + mem['h10E] = 'h10; + mem['h10F] = 'h00; + mem['h110] = 'h28; + mem['h111] = 'h28; + mem['h112] = 'h28; + mem['h113] = 'h00; + mem['h114] = 'h00; + mem['h115] = 'h00; + mem['h116] = 'h00; + mem['h117] = 'h00; + mem['h118] = 'h28; + mem['h119] = 'h28; + mem['h11A] = 'h7C; + mem['h11B] = 'h28; + mem['h11C] = 'h7C; + mem['h11D] = 'h28; + mem['h11E] = 'h28; + mem['h11F] = 'h00; + mem['h120] = 'h44; + mem['h121] = 'h38; + mem['h122] = 'h44; + mem['h123] = 'h44; + mem['h124] = 'h44; + mem['h125] = 'h38; + mem['h126] = 'h44; + mem['h127] = 'h00; + mem['h128] = 'h60; + mem['h129] = 'h64; + mem['h12A] = 'h08; + mem['h12B] = 'h10; + mem['h12C] = 'h20; + mem['h12D] = 'h4C; + mem['h12E] = 'h0C; + mem['h12F] = 'h00; + mem['h130] = 'h20; + mem['h131] = 'h50; + mem['h132] = 'h50; + mem['h133] = 'h20; + mem['h134] = 'h54; + mem['h135] = 'h48; + mem['h136] = 'h34; + mem['h137] = 'h00; + mem['h138] = 'h10; + mem['h139] = 'h10; + mem['h13A] = 'h10; + mem['h13B] = 'h00; + mem['h13C] = 'h00; + mem['h13D] = 'h00; + mem['h13E] = 'h00; + mem['h13F] = 'h00; + mem['h140] = 'h08; + mem['h141] = 'h10; + mem['h142] = 'h20; + mem['h143] = 'h20; + mem['h144] = 'h20; + mem['h145] = 'h10; + mem['h146] = 'h08; + mem['h147] = 'h00; + mem['h148] = 'h20; + mem['h149] = 'h10; + mem['h14A] = 'h08; + mem['h14B] = 'h08; + mem['h14C] = 'h08; + mem['h14D] = 'h10; + mem['h14E] = 'h20; + mem['h14F] = 'h00; + mem['h150] = 'h10; + mem['h151] = 'h54; + mem['h152] = 'h38; + mem['h153] = 'h10; + mem['h154] = 'h38; + mem['h155] = 'h54; + mem['h156] = 'h10; + mem['h157] = 'h00; + mem['h158] = 'h00; + mem['h159] = 'h10; + mem['h15A] = 'h10; + mem['h15B] = 'h7C; + mem['h15C] = 'h10; + mem['h15D] = 'h10; + mem['h15E] = 'h00; + mem['h15F] = 'h00; + mem['h160] = 'h00; + mem['h161] = 'h00; + mem['h162] = 'h00; + mem['h163] = 'h30; + mem['h164] = 'h30; + mem['h165] = 'h10; + mem['h166] = 'h20; + mem['h167] = 'h00; + mem['h168] = 'h00; + mem['h169] = 'h00; + mem['h16A] = 'h00; + mem['h16B] = 'h7C; + mem['h16C] = 'h00; + mem['h16D] = 'h00; + mem['h16E] = 'h00; + mem['h16F] = 'h00; + mem['h170] = 'h00; + mem['h171] = 'h00; + mem['h172] = 'h00; + mem['h173] = 'h00; + mem['h174] = 'h00; + mem['h175] = 'h30; + mem['h176] = 'h30; + mem['h177] = 'h00; + mem['h178] = 'h00; + mem['h179] = 'h04; + mem['h17A] = 'h08; + mem['h17B] = 'h10; + mem['h17C] = 'h20; + mem['h17D] = 'h40; + mem['h17E] = 'h00; + mem['h17F] = 'h00; + mem['h180] = 'h38; + mem['h181] = 'h44; + mem['h182] = 'h4C; + mem['h183] = 'h54; + mem['h184] = 'h64; + mem['h185] = 'h44; + mem['h186] = 'h38; + mem['h187] = 'h00; + mem['h188] = 'h10; + mem['h189] = 'h30; + mem['h18A] = 'h10; + mem['h18B] = 'h10; + mem['h18C] = 'h10; + mem['h18D] = 'h10; + mem['h18E] = 'h38; + mem['h18F] = 'h00; + mem['h190] = 'h38; + mem['h191] = 'h44; + mem['h192] = 'h04; + mem['h193] = 'h08; + mem['h194] = 'h10; + mem['h195] = 'h20; + mem['h196] = 'h7C; + mem['h197] = 'h00; + mem['h198] = 'h7C; + mem['h199] = 'h04; + mem['h19A] = 'h08; + mem['h19B] = 'h18; + mem['h19C] = 'h04; + mem['h19D] = 'h44; + mem['h19E] = 'h38; + mem['h19F] = 'h00; + mem['h1A0] = 'h08; + mem['h1A1] = 'h18; + mem['h1A2] = 'h28; + mem['h1A3] = 'h48; + mem['h1A4] = 'h7C; + mem['h1A5] = 'h08; + mem['h1A6] = 'h08; + mem['h1A7] = 'h00; + mem['h1A8] = 'h7C; + mem['h1A9] = 'h40; + mem['h1AA] = 'h78; + mem['h1AB] = 'h04; + mem['h1AC] = 'h04; + mem['h1AD] = 'h44; + mem['h1AE] = 'h38; + mem['h1AF] = 'h00; + mem['h1B0] = 'h1C; + mem['h1B1] = 'h20; + mem['h1B2] = 'h40; + mem['h1B3] = 'h78; + mem['h1B4] = 'h44; + mem['h1B5] = 'h44; + mem['h1B6] = 'h38; + mem['h1B7] = 'h00; + mem['h1B8] = 'h7C; + mem['h1B9] = 'h04; + mem['h1BA] = 'h08; + mem['h1BB] = 'h10; + mem['h1BC] = 'h20; + mem['h1BD] = 'h20; + mem['h1BE] = 'h20; + mem['h1BF] = 'h00; + mem['h1C0] = 'h38; + mem['h1C1] = 'h44; + mem['h1C2] = 'h44; + mem['h1C3] = 'h38; + mem['h1C4] = 'h44; + mem['h1C5] = 'h44; + mem['h1C6] = 'h38; + mem['h1C7] = 'h00; + mem['h1C8] = 'h38; + mem['h1C9] = 'h44; + mem['h1CA] = 'h44; + mem['h1CB] = 'h3C; + mem['h1CC] = 'h04; + mem['h1CD] = 'h08; + mem['h1CE] = 'h70; + mem['h1CF] = 'h00; + mem['h1D0] = 'h00; + mem['h1D1] = 'h00; + mem['h1D2] = 'h18; + mem['h1D3] = 'h18; + mem['h1D4] = 'h00; + mem['h1D5] = 'h18; + mem['h1D6] = 'h18; + mem['h1D7] = 'h00; + mem['h1D8] = 'h18; + mem['h1D9] = 'h18; + mem['h1DA] = 'h00; + mem['h1DB] = 'h18; + mem['h1DC] = 'h18; + mem['h1DD] = 'h08; + mem['h1DE] = 'h10; + mem['h1DF] = 'h00; + mem['h1E0] = 'h04; + mem['h1E1] = 'h08; + mem['h1E2] = 'h10; + mem['h1E3] = 'h20; + mem['h1E4] = 'h10; + mem['h1E5] = 'h08; + mem['h1E6] = 'h04; + mem['h1E7] = 'h00; + mem['h1E8] = 'h00; + mem['h1E9] = 'h00; + mem['h1EA] = 'h7C; + mem['h1EB] = 'h00; + mem['h1EC] = 'h7C; + mem['h1ED] = 'h00; + mem['h1EE] = 'h00; + mem['h1EF] = 'h00; + mem['h1F0] = 'h20; + mem['h1F1] = 'h10; + mem['h1F2] = 'h08; + mem['h1F3] = 'h04; + mem['h1F4] = 'h08; + mem['h1F5] = 'h10; + mem['h1F6] = 'h20; + mem['h1F7] = 'h00; + mem['h1F8] = 'h38; + mem['h1F9] = 'h44; + mem['h1FA] = 'h08; + mem['h1FB] = 'h10; + mem['h1FC] = 'h10; + mem['h1FD] = 'h00; + mem['h1FE] = 'h10; + mem['h1FF] = 'h00; + mem['h200] = 'h38; + mem['h201] = 'h44; + mem['h202] = 'h5C; + mem['h203] = 'h54; + mem['h204] = 'h5C; + mem['h205] = 'h40; + mem['h206] = 'h3C; + mem['h207] = 'h00; + mem['h208] = 'h10; + mem['h209] = 'h28; + mem['h20A] = 'h44; + mem['h20B] = 'h44; + mem['h20C] = 'h7C; + mem['h20D] = 'h44; + mem['h20E] = 'h44; + mem['h20F] = 'h00; + mem['h210] = 'h78; + mem['h211] = 'h44; + mem['h212] = 'h44; + mem['h213] = 'h78; + mem['h214] = 'h44; + mem['h215] = 'h44; + mem['h216] = 'h78; + mem['h217] = 'h00; + mem['h218] = 'h38; + mem['h219] = 'h44; + mem['h21A] = 'h40; + mem['h21B] = 'h40; + mem['h21C] = 'h40; + mem['h21D] = 'h44; + mem['h21E] = 'h38; + mem['h21F] = 'h00; + mem['h220] = 'h78; + mem['h221] = 'h44; + mem['h222] = 'h44; + mem['h223] = 'h44; + mem['h224] = 'h44; + mem['h225] = 'h44; + mem['h226] = 'h78; + mem['h227] = 'h00; + mem['h228] = 'h7C; + mem['h229] = 'h40; + mem['h22A] = 'h40; + mem['h22B] = 'h78; + mem['h22C] = 'h40; + mem['h22D] = 'h40; + mem['h22E] = 'h7C; + mem['h22F] = 'h00; + mem['h230] = 'h7C; + mem['h231] = 'h40; + mem['h232] = 'h40; + mem['h233] = 'h78; + mem['h234] = 'h40; + mem['h235] = 'h40; + mem['h236] = 'h40; + mem['h237] = 'h00; + mem['h238] = 'h3C; + mem['h239] = 'h40; + mem['h23A] = 'h40; + mem['h23B] = 'h40; + mem['h23C] = 'h4C; + mem['h23D] = 'h44; + mem['h23E] = 'h3C; + mem['h23F] = 'h00; + mem['h240] = 'h44; + mem['h241] = 'h44; + mem['h242] = 'h44; + mem['h243] = 'h7C; + mem['h244] = 'h44; + mem['h245] = 'h44; + mem['h246] = 'h44; + mem['h247] = 'h00; + mem['h248] = 'h38; + mem['h249] = 'h10; + mem['h24A] = 'h10; + mem['h24B] = 'h10; + mem['h24C] = 'h10; + mem['h24D] = 'h10; + mem['h24E] = 'h38; + mem['h24F] = 'h00; + mem['h250] = 'h04; + mem['h251] = 'h04; + mem['h252] = 'h04; + mem['h253] = 'h04; + mem['h254] = 'h04; + mem['h255] = 'h44; + mem['h256] = 'h38; + mem['h257] = 'h00; + mem['h258] = 'h44; + mem['h259] = 'h48; + mem['h25A] = 'h50; + mem['h25B] = 'h60; + mem['h25C] = 'h50; + mem['h25D] = 'h48; + mem['h25E] = 'h44; + mem['h25F] = 'h00; + mem['h260] = 'h40; + mem['h261] = 'h40; + mem['h262] = 'h40; + mem['h263] = 'h40; + mem['h264] = 'h40; + mem['h265] = 'h40; + mem['h266] = 'h7C; + mem['h267] = 'h00; + mem['h268] = 'h44; + mem['h269] = 'h6C; + mem['h26A] = 'h54; + mem['h26B] = 'h54; + mem['h26C] = 'h44; + mem['h26D] = 'h44; + mem['h26E] = 'h44; + mem['h26F] = 'h00; + mem['h270] = 'h44; + mem['h271] = 'h44; + mem['h272] = 'h64; + mem['h273] = 'h54; + mem['h274] = 'h4C; + mem['h275] = 'h44; + mem['h276] = 'h44; + mem['h277] = 'h00; + mem['h278] = 'h38; + mem['h279] = 'h44; + mem['h27A] = 'h44; + mem['h27B] = 'h44; + mem['h27C] = 'h44; + mem['h27D] = 'h44; + mem['h27E] = 'h38; + mem['h27F] = 'h00; + mem['h280] = 'h78; + mem['h281] = 'h44; + mem['h282] = 'h44; + mem['h283] = 'h78; + mem['h284] = 'h40; + mem['h285] = 'h40; + mem['h286] = 'h40; + mem['h287] = 'h00; + mem['h288] = 'h38; + mem['h289] = 'h44; + mem['h28A] = 'h44; + mem['h28B] = 'h44; + mem['h28C] = 'h54; + mem['h28D] = 'h48; + mem['h28E] = 'h34; + mem['h28F] = 'h00; + mem['h290] = 'h78; + mem['h291] = 'h44; + mem['h292] = 'h44; + mem['h293] = 'h78; + mem['h294] = 'h50; + mem['h295] = 'h48; + mem['h296] = 'h44; + mem['h297] = 'h00; + mem['h298] = 'h38; + mem['h299] = 'h44; + mem['h29A] = 'h40; + mem['h29B] = 'h38; + mem['h29C] = 'h04; + mem['h29D] = 'h44; + mem['h29E] = 'h38; + mem['h29F] = 'h00; + mem['h2A0] = 'h7C; + mem['h2A1] = 'h10; + mem['h2A2] = 'h10; + mem['h2A3] = 'h10; + mem['h2A4] = 'h10; + mem['h2A5] = 'h10; + mem['h2A6] = 'h10; + mem['h2A7] = 'h00; + mem['h2A8] = 'h44; + mem['h2A9] = 'h44; + mem['h2AA] = 'h44; + mem['h2AB] = 'h44; + mem['h2AC] = 'h44; + mem['h2AD] = 'h44; + mem['h2AE] = 'h38; + mem['h2AF] = 'h00; + mem['h2B0] = 'h44; + mem['h2B1] = 'h44; + mem['h2B2] = 'h44; + mem['h2B3] = 'h44; + mem['h2B4] = 'h44; + mem['h2B5] = 'h28; + mem['h2B6] = 'h10; + mem['h2B7] = 'h00; + mem['h2B8] = 'h44; + mem['h2B9] = 'h44; + mem['h2BA] = 'h44; + mem['h2BB] = 'h54; + mem['h2BC] = 'h54; + mem['h2BD] = 'h6C; + mem['h2BE] = 'h44; + mem['h2BF] = 'h00; + mem['h2C0] = 'h44; + mem['h2C1] = 'h44; + mem['h2C2] = 'h28; + mem['h2C3] = 'h10; + mem['h2C4] = 'h28; + mem['h2C5] = 'h44; + mem['h2C6] = 'h44; + mem['h2C7] = 'h00; + mem['h2C8] = 'h44; + mem['h2C9] = 'h44; + mem['h2CA] = 'h28; + mem['h2CB] = 'h10; + mem['h2CC] = 'h10; + mem['h2CD] = 'h10; + mem['h2CE] = 'h10; + mem['h2CF] = 'h00; + mem['h2D0] = 'h7C; + mem['h2D1] = 'h04; + mem['h2D2] = 'h08; + mem['h2D3] = 'h10; + mem['h2D4] = 'h20; + mem['h2D5] = 'h40; + mem['h2D6] = 'h7C; + mem['h2D7] = 'h00; + mem['h2D8] = 'h7C; + mem['h2D9] = 'h60; + mem['h2DA] = 'h60; + mem['h2DB] = 'h60; + mem['h2DC] = 'h60; + mem['h2DD] = 'h60; + mem['h2DE] = 'h7C; + mem['h2DF] = 'h00; + mem['h2E0] = 'h00; + mem['h2E1] = 'h40; + mem['h2E2] = 'h20; + mem['h2E3] = 'h10; + mem['h2E4] = 'h08; + mem['h2E5] = 'h04; + mem['h2E6] = 'h00; + mem['h2E7] = 'h00; + mem['h2E8] = 'h7C; + mem['h2E9] = 'h0C; + mem['h2EA] = 'h0C; + mem['h2EB] = 'h0C; + mem['h2EC] = 'h0C; + mem['h2ED] = 'h0C; + mem['h2EE] = 'h7C; + mem['h2EF] = 'h00; + mem['h2F0] = 'h00; + mem['h2F1] = 'h10; + mem['h2F2] = 'h28; + mem['h2F3] = 'h44; + mem['h2F4] = 'h00; + mem['h2F5] = 'h00; + mem['h2F6] = 'h00; + mem['h2F7] = 'h00; + mem['h2F8] = 'h00; + mem['h2F9] = 'h00; + mem['h2FA] = 'h00; + mem['h2FB] = 'h00; + mem['h2FC] = 'h00; + mem['h2FD] = 'h00; + mem['h2FE] = 'h00; + mem['h2FF] = 'hFF; + mem['h300] = 'h5C; + mem['h301] = 'h54; + mem['h302] = 'h54; + mem['h303] = 'h74; + mem['h304] = 'h54; + mem['h305] = 'h54; + mem['h306] = 'h5C; + mem['h307] = 'h00; + mem['h308] = 'h38; + mem['h309] = 'h44; + mem['h30A] = 'h44; + mem['h30B] = 'h44; + mem['h30C] = 'h7C; + mem['h30D] = 'h44; + mem['h30E] = 'h44; + mem['h30F] = 'h00; + mem['h310] = 'h7C; + mem['h311] = 'h40; + mem['h312] = 'h40; + mem['h313] = 'h78; + mem['h314] = 'h44; + mem['h315] = 'h44; + mem['h316] = 'h78; + mem['h317] = 'h00; + mem['h318] = 'h48; + mem['h319] = 'h48; + mem['h31A] = 'h48; + mem['h31B] = 'h48; + mem['h31C] = 'h48; + mem['h31D] = 'h48; + mem['h31E] = 'h7C; + mem['h31F] = 'h04; + mem['h320] = 'h1C; + mem['h321] = 'h24; + mem['h322] = 'h24; + mem['h323] = 'h24; + mem['h324] = 'h24; + mem['h325] = 'h24; + mem['h326] = 'h7E; + mem['h327] = 'h42; + mem['h328] = 'h7C; + mem['h329] = 'h40; + mem['h32A] = 'h40; + mem['h32B] = 'h78; + mem['h32C] = 'h40; + mem['h32D] = 'h40; + mem['h32E] = 'h7C; + mem['h32F] = 'h00; + mem['h330] = 'h38; + mem['h331] = 'h54; + mem['h332] = 'h54; + mem['h333] = 'h54; + mem['h334] = 'h38; + mem['h335] = 'h10; + mem['h336] = 'h10; + mem['h337] = 'h00; + mem['h338] = 'h7C; + mem['h339] = 'h40; + mem['h33A] = 'h40; + mem['h33B] = 'h40; + mem['h33C] = 'h40; + mem['h33D] = 'h40; + mem['h33E] = 'h40; + mem['h33F] = 'h00; + mem['h340] = 'h44; + mem['h341] = 'h44; + mem['h342] = 'h28; + mem['h343] = 'h10; + mem['h344] = 'h28; + mem['h345] = 'h44; + mem['h346] = 'h44; + mem['h347] = 'h00; + mem['h348] = 'h44; + mem['h349] = 'h44; + mem['h34A] = 'h44; + mem['h34B] = 'h4C; + mem['h34C] = 'h54; + mem['h34D] = 'h64; + mem['h34E] = 'h44; + mem['h34F] = 'h00; + mem['h350] = 'h54; + mem['h351] = 'h54; + mem['h352] = 'h44; + mem['h353] = 'h4C; + mem['h354] = 'h54; + mem['h355] = 'h64; + mem['h356] = 'h44; + mem['h357] = 'h00; + mem['h358] = 'h44; + mem['h359] = 'h48; + mem['h35A] = 'h50; + mem['h35B] = 'h60; + mem['h35C] = 'h50; + mem['h35D] = 'h48; + mem['h35E] = 'h44; + mem['h35F] = 'h00; + mem['h360] = 'h0C; + mem['h361] = 'h14; + mem['h362] = 'h24; + mem['h363] = 'h24; + mem['h364] = 'h24; + mem['h365] = 'h24; + mem['h366] = 'h44; + mem['h367] = 'h00; + mem['h368] = 'h44; + mem['h369] = 'h6C; + mem['h36A] = 'h54; + mem['h36B] = 'h54; + mem['h36C] = 'h44; + mem['h36D] = 'h44; + mem['h36E] = 'h44; + mem['h36F] = 'h00; + mem['h370] = 'h44; + mem['h371] = 'h44; + mem['h372] = 'h44; + mem['h373] = 'h7C; + mem['h374] = 'h44; + mem['h375] = 'h44; + mem['h376] = 'h44; + mem['h377] = 'h00; + mem['h378] = 'h38; + mem['h379] = 'h44; + mem['h37A] = 'h44; + mem['h37B] = 'h44; + mem['h37C] = 'h44; + mem['h37D] = 'h44; + mem['h37E] = 'h38; + mem['h37F] = 'h00; + mem['h380] = 'h7C; + mem['h381] = 'h44; + mem['h382] = 'h44; + mem['h383] = 'h44; + mem['h384] = 'h44; + mem['h385] = 'h44; + mem['h386] = 'h44; + mem['h387] = 'h00; + mem['h388] = 'h3C; + mem['h389] = 'h44; + mem['h38A] = 'h44; + mem['h38B] = 'h3C; + mem['h38C] = 'h14; + mem['h38D] = 'h24; + mem['h38E] = 'h44; + mem['h38F] = 'h00; + mem['h390] = 'h78; + mem['h391] = 'h44; + mem['h392] = 'h44; + mem['h393] = 'h78; + mem['h394] = 'h40; + mem['h395] = 'h40; + mem['h396] = 'h40; + mem['h397] = 'h00; + mem['h398] = 'h38; + mem['h399] = 'h44; + mem['h39A] = 'h40; + mem['h39B] = 'h40; + mem['h39C] = 'h40; + mem['h39D] = 'h44; + mem['h39E] = 'h38; + mem['h39F] = 'h00; + mem['h3A0] = 'h7C; + mem['h3A1] = 'h10; + mem['h3A2] = 'h10; + mem['h3A3] = 'h10; + mem['h3A4] = 'h10; + mem['h3A5] = 'h10; + mem['h3A6] = 'h10; + mem['h3A7] = 'h00; + mem['h3A8] = 'h44; + mem['h3A9] = 'h44; + mem['h3AA] = 'h44; + mem['h3AB] = 'h3C; + mem['h3AC] = 'h04; + mem['h3AD] = 'h44; + mem['h3AE] = 'h38; + mem['h3AF] = 'h00; + mem['h3B0] = 'h54; + mem['h3B1] = 'h54; + mem['h3B2] = 'h54; + mem['h3B3] = 'h38; + mem['h3B4] = 'h54; + mem['h3B5] = 'h54; + mem['h3B6] = 'h54; + mem['h3B7] = 'h00; + mem['h3B8] = 'h78; + mem['h3B9] = 'h44; + mem['h3BA] = 'h44; + mem['h3BB] = 'h78; + mem['h3BC] = 'h44; + mem['h3BD] = 'h44; + mem['h3BE] = 'h78; + mem['h3BF] = 'h00; + mem['h3C0] = 'h40; + mem['h3C1] = 'h40; + mem['h3C2] = 'h40; + mem['h3C3] = 'h78; + mem['h3C4] = 'h44; + mem['h3C5] = 'h44; + mem['h3C6] = 'h78; + mem['h3C7] = 'h00; + mem['h3C8] = 'h44; + mem['h3C9] = 'h44; + mem['h3CA] = 'h44; + mem['h3CB] = 'h74; + mem['h3CC] = 'h54; + mem['h3CD] = 'h54; + mem['h3CE] = 'h74; + mem['h3CF] = 'h00; + mem['h3D0] = 'h38; + mem['h3D1] = 'h44; + mem['h3D2] = 'h04; + mem['h3D3] = 'h18; + mem['h3D4] = 'h04; + mem['h3D5] = 'h44; + mem['h3D6] = 'h38; + mem['h3D7] = 'h00; + mem['h3D8] = 'h54; + mem['h3D9] = 'h54; + mem['h3DA] = 'h54; + mem['h3DB] = 'h54; + mem['h3DC] = 'h54; + mem['h3DD] = 'h54; + mem['h3DE] = 'h7C; + mem['h3DF] = 'h00; + mem['h3E0] = 'h78; + mem['h3E1] = 'h04; + mem['h3E2] = 'h04; + mem['h3E3] = 'h3C; + mem['h3E4] = 'h04; + mem['h3E5] = 'h04; + mem['h3E6] = 'h78; + mem['h3E7] = 'h00; + mem['h3E8] = 'h54; + mem['h3E9] = 'h54; + mem['h3EA] = 'h54; + mem['h3EB] = 'h54; + mem['h3EC] = 'h54; + mem['h3ED] = 'h54; + mem['h3EE] = 'h7C; + mem['h3EF] = 'h04; + mem['h3F0] = 'h44; + mem['h3F1] = 'h44; + mem['h3F2] = 'h44; + mem['h3F3] = 'h3C; + mem['h3F4] = 'h04; + mem['h3F5] = 'h04; + mem['h3F6] = 'h04; + mem['h3F7] = 'h00; + mem['h3F8] = 'h60; + mem['h3F9] = 'h20; + mem['h3FA] = 'h20; + mem['h3FB] = 'h38; + mem['h3FC] = 'h24; + mem['h3FD] = 'h24; + mem['h3FE] = 'h38; + mem['h3FF] = 'h00; + mem['h400] = 'h00; + mem['h401] = 'h00; + mem['h402] = 'h00; + mem['h403] = 'h00; + mem['h404] = 'h00; + mem['h405] = 'h00; + mem['h406] = 'h00; + mem['h407] = 'h00; + mem['h408] = 'h00; + mem['h409] = 'h00; + mem['h40A] = 'h00; + mem['h40B] = 'h00; + mem['h40C] = 'h00; + mem['h40D] = 'h00; + mem['h40E] = 'h00; + mem['h40F] = 'h00; + mem['h410] = 'h00; + mem['h411] = 'h00; + mem['h412] = 'h00; + mem['h413] = 'h00; + mem['h414] = 'h00; + mem['h415] = 'h00; + mem['h416] = 'h00; + mem['h417] = 'h00; + mem['h418] = 'h00; + mem['h419] = 'h00; + mem['h41A] = 'h00; + mem['h41B] = 'h00; + mem['h41C] = 'h00; + mem['h41D] = 'h00; + mem['h41E] = 'h00; + mem['h41F] = 'h00; + mem['h420] = 'h00; + mem['h421] = 'h00; + mem['h422] = 'h00; + mem['h423] = 'h00; + mem['h424] = 'h00; + mem['h425] = 'h00; + mem['h426] = 'h00; + mem['h427] = 'h00; + mem['h428] = 'h00; + mem['h429] = 'h00; + mem['h42A] = 'h00; + mem['h42B] = 'h00; + mem['h42C] = 'h00; + mem['h42D] = 'h00; + mem['h42E] = 'h00; + mem['h42F] = 'h00; + mem['h430] = 'h00; + mem['h431] = 'h00; + mem['h432] = 'h00; + mem['h433] = 'h00; + mem['h434] = 'h00; + mem['h435] = 'h00; + mem['h436] = 'h00; + mem['h437] = 'h00; + mem['h438] = 'h00; + mem['h439] = 'h00; + mem['h43A] = 'h00; + mem['h43B] = 'h00; + mem['h43C] = 'h00; + mem['h43D] = 'h00; + mem['h43E] = 'h00; + mem['h43F] = 'h00; + mem['h440] = 'h00; + mem['h441] = 'h00; + mem['h442] = 'h00; + mem['h443] = 'h00; + mem['h444] = 'h00; + mem['h445] = 'h00; + mem['h446] = 'h00; + mem['h447] = 'h00; + mem['h448] = 'h00; + mem['h449] = 'h00; + mem['h44A] = 'h00; + mem['h44B] = 'h00; + mem['h44C] = 'h00; + mem['h44D] = 'h00; + mem['h44E] = 'h00; + mem['h44F] = 'h00; + mem['h450] = 'h00; + mem['h451] = 'h00; + mem['h452] = 'h00; + mem['h453] = 'h00; + mem['h454] = 'h00; + mem['h455] = 'h00; + mem['h456] = 'h00; + mem['h457] = 'h00; + mem['h458] = 'h00; + mem['h459] = 'h00; + mem['h45A] = 'h00; + mem['h45B] = 'h00; + mem['h45C] = 'h00; + mem['h45D] = 'h00; + mem['h45E] = 'h00; + mem['h45F] = 'h00; + mem['h460] = 'h00; + mem['h461] = 'h00; + mem['h462] = 'h00; + mem['h463] = 'h00; + mem['h464] = 'h00; + mem['h465] = 'h00; + mem['h466] = 'h00; + mem['h467] = 'h00; + mem['h468] = 'h00; + mem['h469] = 'h00; + mem['h46A] = 'h00; + mem['h46B] = 'h00; + mem['h46C] = 'h00; + mem['h46D] = 'h00; + mem['h46E] = 'h00; + mem['h46F] = 'h00; + mem['h470] = 'h00; + mem['h471] = 'h00; + mem['h472] = 'h00; + mem['h473] = 'h00; + mem['h474] = 'h00; + mem['h475] = 'h00; + mem['h476] = 'h00; + mem['h477] = 'h00; + mem['h478] = 'h00; + mem['h479] = 'h00; + mem['h47A] = 'h00; + mem['h47B] = 'h00; + mem['h47C] = 'h00; + mem['h47D] = 'h00; + mem['h47E] = 'h00; + mem['h47F] = 'h00; + mem['h480] = 'h00; + mem['h481] = 'h00; + mem['h482] = 'h00; + mem['h483] = 'h7C; + mem['h484] = 'h00; + mem['h485] = 'h00; + mem['h486] = 'h00; + mem['h487] = 'h00; + mem['h488] = 'h00; + mem['h489] = 'h00; + mem['h48A] = 'h00; + mem['h48B] = 'h7C; + mem['h48C] = 'h00; + mem['h48D] = 'h00; + mem['h48E] = 'h00; + mem['h48F] = 'h00; + mem['h490] = 'h00; + mem['h491] = 'h00; + mem['h492] = 'h00; + mem['h493] = 'hF0; + mem['h494] = 'h10; + mem['h495] = 'h10; + mem['h496] = 'h10; + mem['h497] = 'h10; + mem['h498] = 'h10; + mem['h499] = 'h10; + mem['h49A] = 'h10; + mem['h49B] = 'h10; + mem['h49C] = 'h10; + mem['h49D] = 'h10; + mem['h49E] = 'h10; + mem['h49F] = 'h10; + mem['h4A0] = 'h3C; + mem['h4A1] = 'h54; + mem['h4A2] = 'h54; + mem['h4A3] = 'h34; + mem['h4A4] = 'h14; + mem['h4A5] = 'h14; + mem['h4A6] = 'h14; + mem['h4A7] = 'h00; + mem['h4A8] = 'h00; + mem['h4A9] = 'h00; + mem['h4AA] = 'h08; + mem['h4AB] = 'hFC; + mem['h4AC] = 'h08; + mem['h4AD] = 'h00; + mem['h4AE] = 'h00; + mem['h4AF] = 'h00; + mem['h4B0] = 'h00; + mem['h4B1] = 'h00; + mem['h4B2] = 'h00; + mem['h4B3] = 'h00; + mem['h4B4] = 'h7C; + mem['h4B5] = 'h7C; + mem['h4B6] = 'h7C; + mem['h4B7] = 'h00; + mem['h4B8] = 'h10; + mem['h4B9] = 'h38; + mem['h4BA] = 'h10; + mem['h4BB] = 'h10; + mem['h4BC] = 'h38; + mem['h4BD] = 'h10; + mem['h4BE] = 'h7C; + mem['h4BF] = 'h00; + mem['h4C0] = 'h00; + mem['h4C1] = 'h10; + mem['h4C2] = 'h38; + mem['h4C3] = 'h10; + mem['h4C4] = 'h10; + mem['h4C5] = 'h10; + mem['h4C6] = 'h10; + mem['h4C7] = 'h10; + mem['h4C8] = 'h10; + mem['h4C9] = 'h10; + mem['h4CA] = 'h10; + mem['h4CB] = 'h10; + mem['h4CC] = 'h10; + mem['h4CD] = 'h38; + mem['h4CE] = 'h10; + mem['h4CF] = 'h00; + mem['h4D0] = 'h00; + mem['h4D1] = 'h00; + mem['h4D2] = 'h08; + mem['h4D3] = 'hFC; + mem['h4D4] = 'h08; + mem['h4D5] = 'h00; + mem['h4D6] = 'h00; + mem['h4D7] = 'h00; + mem['h4D8] = 'h00; + mem['h4D9] = 'h00; + mem['h4DA] = 'h00; + mem['h4DB] = 'h7C; + mem['h4DC] = 'h00; + mem['h4DD] = 'h00; + mem['h4DE] = 'h00; + mem['h4DF] = 'h00; + mem['h4E0] = 'h10; + mem['h4E1] = 'h10; + mem['h4E2] = 'h10; + mem['h4E3] = 'h10; + mem['h4E4] = 'h10; + mem['h4E5] = 'h10; + mem['h4E6] = 'h10; + mem['h4E7] = 'h10; + mem['h4E8] = 'h40; + mem['h4E9] = 'h40; + mem['h4EA] = 'h40; + mem['h4EB] = 'h40; + mem['h4EC] = 'h40; + mem['h4ED] = 'h40; + mem['h4EE] = 'h7C; + mem['h4EF] = 'h00; + mem['h4F0] = 'h00; + mem['h4F1] = 'h00; + mem['h4F2] = 'h00; + mem['h4F3] = 'h7C; + mem['h4F4] = 'h00; + mem['h4F5] = 'h00; + mem['h4F6] = 'h00; + mem['h4F7] = 'h00; + mem['h4F8] = 'h00; + mem['h4F9] = 'h00; + mem['h4FA] = 'h00; + mem['h4FB] = 'h7C; + mem['h4FC] = 'h00; + mem['h4FD] = 'h00; + mem['h4FE] = 'h00; + mem['h4FF] = 'h00; + mem['h500] = 'h00; + mem['h501] = 'h00; + mem['h502] = 'h00; + mem['h503] = 'h00; + mem['h504] = 'h00; + mem['h505] = 'h00; + mem['h506] = 'h00; + mem['h507] = 'h00; + mem['h508] = 'h10; + mem['h509] = 'h10; + mem['h50A] = 'h10; + mem['h50B] = 'h10; + mem['h50C] = 'h10; + mem['h50D] = 'h00; + mem['h50E] = 'h10; + mem['h50F] = 'h00; + mem['h510] = 'h28; + mem['h511] = 'h28; + mem['h512] = 'h28; + mem['h513] = 'h00; + mem['h514] = 'h00; + mem['h515] = 'h00; + mem['h516] = 'h00; + mem['h517] = 'h00; + mem['h518] = 'h28; + mem['h519] = 'h28; + mem['h51A] = 'h7C; + mem['h51B] = 'h28; + mem['h51C] = 'h7C; + mem['h51D] = 'h28; + mem['h51E] = 'h28; + mem['h51F] = 'h00; + mem['h520] = 'h44; + mem['h521] = 'h38; + mem['h522] = 'h44; + mem['h523] = 'h44; + mem['h524] = 'h44; + mem['h525] = 'h38; + mem['h526] = 'h44; + mem['h527] = 'h00; + mem['h528] = 'h60; + mem['h529] = 'h64; + mem['h52A] = 'h08; + mem['h52B] = 'h10; + mem['h52C] = 'h20; + mem['h52D] = 'h4C; + mem['h52E] = 'h0C; + mem['h52F] = 'h00; + mem['h530] = 'h20; + mem['h531] = 'h50; + mem['h532] = 'h50; + mem['h533] = 'h20; + mem['h534] = 'h54; + mem['h535] = 'h48; + mem['h536] = 'h34; + mem['h537] = 'h00; + mem['h538] = 'h10; + mem['h539] = 'h10; + mem['h53A] = 'h10; + mem['h53B] = 'h00; + mem['h53C] = 'h00; + mem['h53D] = 'h00; + mem['h53E] = 'h00; + mem['h53F] = 'h00; + mem['h540] = 'h08; + mem['h541] = 'h10; + mem['h542] = 'h20; + mem['h543] = 'h20; + mem['h544] = 'h20; + mem['h545] = 'h10; + mem['h546] = 'h08; + mem['h547] = 'h00; + mem['h548] = 'h20; + mem['h549] = 'h10; + mem['h54A] = 'h08; + mem['h54B] = 'h08; + mem['h54C] = 'h08; + mem['h54D] = 'h10; + mem['h54E] = 'h20; + mem['h54F] = 'h00; + mem['h550] = 'h10; + mem['h551] = 'h54; + mem['h552] = 'h38; + mem['h553] = 'h10; + mem['h554] = 'h38; + mem['h555] = 'h54; + mem['h556] = 'h10; + mem['h557] = 'h00; + mem['h558] = 'h00; + mem['h559] = 'h10; + mem['h55A] = 'h10; + mem['h55B] = 'h7C; + mem['h55C] = 'h10; + mem['h55D] = 'h10; + mem['h55E] = 'h00; + mem['h55F] = 'h00; + mem['h560] = 'h00; + mem['h561] = 'h00; + mem['h562] = 'h00; + mem['h563] = 'h30; + mem['h564] = 'h30; + mem['h565] = 'h10; + mem['h566] = 'h20; + mem['h567] = 'h00; + mem['h568] = 'h00; + mem['h569] = 'h00; + mem['h56A] = 'h00; + mem['h56B] = 'h7C; + mem['h56C] = 'h00; + mem['h56D] = 'h00; + mem['h56E] = 'h00; + mem['h56F] = 'h00; + mem['h570] = 'h00; + mem['h571] = 'h00; + mem['h572] = 'h00; + mem['h573] = 'h00; + mem['h574] = 'h00; + mem['h575] = 'h30; + mem['h576] = 'h30; + mem['h577] = 'h00; + mem['h578] = 'h00; + mem['h579] = 'h04; + mem['h57A] = 'h08; + mem['h57B] = 'h10; + mem['h57C] = 'h20; + mem['h57D] = 'h40; + mem['h57E] = 'h00; + mem['h57F] = 'h00; + mem['h580] = 'h38; + mem['h581] = 'h44; + mem['h582] = 'h4C; + mem['h583] = 'h54; + mem['h584] = 'h64; + mem['h585] = 'h44; + mem['h586] = 'h38; + mem['h587] = 'h00; + mem['h588] = 'h10; + mem['h589] = 'h30; + mem['h58A] = 'h10; + mem['h58B] = 'h10; + mem['h58C] = 'h10; + mem['h58D] = 'h10; + mem['h58E] = 'h38; + mem['h58F] = 'h00; + mem['h590] = 'h38; + mem['h591] = 'h44; + mem['h592] = 'h04; + mem['h593] = 'h08; + mem['h594] = 'h10; + mem['h595] = 'h20; + mem['h596] = 'h7C; + mem['h597] = 'h00; + mem['h598] = 'h7C; + mem['h599] = 'h04; + mem['h59A] = 'h08; + mem['h59B] = 'h18; + mem['h59C] = 'h04; + mem['h59D] = 'h44; + mem['h59E] = 'h38; + mem['h59F] = 'h00; + mem['h5A0] = 'h08; + mem['h5A1] = 'h18; + mem['h5A2] = 'h28; + mem['h5A3] = 'h48; + mem['h5A4] = 'h7C; + mem['h5A5] = 'h08; + mem['h5A6] = 'h08; + mem['h5A7] = 'h00; + mem['h5A8] = 'h7C; + mem['h5A9] = 'h40; + mem['h5AA] = 'h78; + mem['h5AB] = 'h04; + mem['h5AC] = 'h04; + mem['h5AD] = 'h44; + mem['h5AE] = 'h38; + mem['h5AF] = 'h00; + mem['h5B0] = 'h1C; + mem['h5B1] = 'h20; + mem['h5B2] = 'h40; + mem['h5B3] = 'h78; + mem['h5B4] = 'h44; + mem['h5B5] = 'h44; + mem['h5B6] = 'h38; + mem['h5B7] = 'h00; + mem['h5B8] = 'h7C; + mem['h5B9] = 'h04; + mem['h5BA] = 'h08; + mem['h5BB] = 'h10; + mem['h5BC] = 'h20; + mem['h5BD] = 'h20; + mem['h5BE] = 'h20; + mem['h5BF] = 'h00; + mem['h5C0] = 'h38; + mem['h5C1] = 'h44; + mem['h5C2] = 'h44; + mem['h5C3] = 'h38; + mem['h5C4] = 'h44; + mem['h5C5] = 'h44; + mem['h5C6] = 'h38; + mem['h5C7] = 'h00; + mem['h5C8] = 'h38; + mem['h5C9] = 'h44; + mem['h5CA] = 'h44; + mem['h5CB] = 'h3C; + mem['h5CC] = 'h04; + mem['h5CD] = 'h08; + mem['h5CE] = 'h70; + mem['h5CF] = 'h00; + mem['h5D0] = 'h00; + mem['h5D1] = 'h00; + mem['h5D2] = 'h18; + mem['h5D3] = 'h18; + mem['h5D4] = 'h00; + mem['h5D5] = 'h18; + mem['h5D6] = 'h18; + mem['h5D7] = 'h00; + mem['h5D8] = 'h18; + mem['h5D9] = 'h18; + mem['h5DA] = 'h00; + mem['h5DB] = 'h18; + mem['h5DC] = 'h18; + mem['h5DD] = 'h08; + mem['h5DE] = 'h10; + mem['h5DF] = 'h00; + mem['h5E0] = 'h04; + mem['h5E1] = 'h08; + mem['h5E2] = 'h10; + mem['h5E3] = 'h20; + mem['h5E4] = 'h10; + mem['h5E5] = 'h08; + mem['h5E6] = 'h04; + mem['h5E7] = 'h00; + mem['h5E8] = 'h00; + mem['h5E9] = 'h00; + mem['h5EA] = 'h7C; + mem['h5EB] = 'h00; + mem['h5EC] = 'h7C; + mem['h5ED] = 'h00; + mem['h5EE] = 'h00; + mem['h5EF] = 'h00; + mem['h5F0] = 'h20; + mem['h5F1] = 'h10; + mem['h5F2] = 'h08; + mem['h5F3] = 'h04; + mem['h5F4] = 'h08; + mem['h5F5] = 'h10; + mem['h5F6] = 'h20; + mem['h5F7] = 'h00; + mem['h5F8] = 'h38; + mem['h5F9] = 'h44; + mem['h5FA] = 'h08; + mem['h5FB] = 'h10; + mem['h5FC] = 'h10; + mem['h5FD] = 'h00; + mem['h5FE] = 'h10; + mem['h5FF] = 'h00; + mem['h600] = 'h38; + mem['h601] = 'h44; + mem['h602] = 'h5C; + mem['h603] = 'h54; + mem['h604] = 'h5C; + mem['h605] = 'h40; + mem['h606] = 'h3C; + mem['h607] = 'h00; + mem['h608] = 'h10; + mem['h609] = 'h28; + mem['h60A] = 'h44; + mem['h60B] = 'h44; + mem['h60C] = 'h7C; + mem['h60D] = 'h44; + mem['h60E] = 'h44; + mem['h60F] = 'h00; + mem['h610] = 'h78; + mem['h611] = 'h44; + mem['h612] = 'h44; + mem['h613] = 'h78; + mem['h614] = 'h44; + mem['h615] = 'h44; + mem['h616] = 'h78; + mem['h617] = 'h00; + mem['h618] = 'h38; + mem['h619] = 'h44; + mem['h61A] = 'h40; + mem['h61B] = 'h40; + mem['h61C] = 'h40; + mem['h61D] = 'h44; + mem['h61E] = 'h38; + mem['h61F] = 'h00; + mem['h620] = 'h78; + mem['h621] = 'h44; + mem['h622] = 'h44; + mem['h623] = 'h44; + mem['h624] = 'h44; + mem['h625] = 'h44; + mem['h626] = 'h78; + mem['h627] = 'h00; + mem['h628] = 'h7C; + mem['h629] = 'h40; + mem['h62A] = 'h40; + mem['h62B] = 'h78; + mem['h62C] = 'h40; + mem['h62D] = 'h40; + mem['h62E] = 'h7C; + mem['h62F] = 'h00; + mem['h630] = 'h7C; + mem['h631] = 'h40; + mem['h632] = 'h40; + mem['h633] = 'h78; + mem['h634] = 'h40; + mem['h635] = 'h40; + mem['h636] = 'h40; + mem['h637] = 'h00; + mem['h638] = 'h3C; + mem['h639] = 'h40; + mem['h63A] = 'h40; + mem['h63B] = 'h40; + mem['h63C] = 'h4C; + mem['h63D] = 'h44; + mem['h63E] = 'h3C; + mem['h63F] = 'h00; + mem['h640] = 'h44; + mem['h641] = 'h44; + mem['h642] = 'h44; + mem['h643] = 'h7C; + mem['h644] = 'h44; + mem['h645] = 'h44; + mem['h646] = 'h44; + mem['h647] = 'h00; + mem['h648] = 'h38; + mem['h649] = 'h10; + mem['h64A] = 'h10; + mem['h64B] = 'h10; + mem['h64C] = 'h10; + mem['h64D] = 'h10; + mem['h64E] = 'h38; + mem['h64F] = 'h00; + mem['h650] = 'h04; + mem['h651] = 'h04; + mem['h652] = 'h04; + mem['h653] = 'h04; + mem['h654] = 'h04; + mem['h655] = 'h44; + mem['h656] = 'h38; + mem['h657] = 'h00; + mem['h658] = 'h44; + mem['h659] = 'h48; + mem['h65A] = 'h50; + mem['h65B] = 'h60; + mem['h65C] = 'h50; + mem['h65D] = 'h48; + mem['h65E] = 'h44; + mem['h65F] = 'h00; + mem['h660] = 'h40; + mem['h661] = 'h40; + mem['h662] = 'h40; + mem['h663] = 'h40; + mem['h664] = 'h40; + mem['h665] = 'h40; + mem['h666] = 'h7C; + mem['h667] = 'h00; + mem['h668] = 'h44; + mem['h669] = 'h6C; + mem['h66A] = 'h54; + mem['h66B] = 'h54; + mem['h66C] = 'h44; + mem['h66D] = 'h44; + mem['h66E] = 'h44; + mem['h66F] = 'h00; + mem['h670] = 'h44; + mem['h671] = 'h44; + mem['h672] = 'h64; + mem['h673] = 'h54; + mem['h674] = 'h4C; + mem['h675] = 'h44; + mem['h676] = 'h44; + mem['h677] = 'h00; + mem['h678] = 'h38; + mem['h679] = 'h44; + mem['h67A] = 'h44; + mem['h67B] = 'h44; + mem['h67C] = 'h44; + mem['h67D] = 'h44; + mem['h67E] = 'h38; + mem['h67F] = 'h00; + mem['h680] = 'h78; + mem['h681] = 'h44; + mem['h682] = 'h44; + mem['h683] = 'h78; + mem['h684] = 'h40; + mem['h685] = 'h40; + mem['h686] = 'h40; + mem['h687] = 'h00; + mem['h688] = 'h38; + mem['h689] = 'h44; + mem['h68A] = 'h44; + mem['h68B] = 'h44; + mem['h68C] = 'h54; + mem['h68D] = 'h48; + mem['h68E] = 'h34; + mem['h68F] = 'h00; + mem['h690] = 'h78; + mem['h691] = 'h44; + mem['h692] = 'h44; + mem['h693] = 'h78; + mem['h694] = 'h50; + mem['h695] = 'h48; + mem['h696] = 'h44; + mem['h697] = 'h00; + mem['h698] = 'h38; + mem['h699] = 'h44; + mem['h69A] = 'h40; + mem['h69B] = 'h38; + mem['h69C] = 'h04; + mem['h69D] = 'h44; + mem['h69E] = 'h38; + mem['h69F] = 'h00; + mem['h6A0] = 'h7C; + mem['h6A1] = 'h10; + mem['h6A2] = 'h10; + mem['h6A3] = 'h10; + mem['h6A4] = 'h10; + mem['h6A5] = 'h10; + mem['h6A6] = 'h10; + mem['h6A7] = 'h00; + mem['h6A8] = 'h44; + mem['h6A9] = 'h44; + mem['h6AA] = 'h44; + mem['h6AB] = 'h44; + mem['h6AC] = 'h44; + mem['h6AD] = 'h44; + mem['h6AE] = 'h38; + mem['h6AF] = 'h00; + mem['h6B0] = 'h44; + mem['h6B1] = 'h44; + mem['h6B2] = 'h44; + mem['h6B3] = 'h44; + mem['h6B4] = 'h44; + mem['h6B5] = 'h28; + mem['h6B6] = 'h10; + mem['h6B7] = 'h00; + mem['h6B8] = 'h44; + mem['h6B9] = 'h44; + mem['h6BA] = 'h44; + mem['h6BB] = 'h54; + mem['h6BC] = 'h54; + mem['h6BD] = 'h6C; + mem['h6BE] = 'h44; + mem['h6BF] = 'h00; + mem['h6C0] = 'h44; + mem['h6C1] = 'h44; + mem['h6C2] = 'h28; + mem['h6C3] = 'h10; + mem['h6C4] = 'h28; + mem['h6C5] = 'h44; + mem['h6C6] = 'h44; + mem['h6C7] = 'h00; + mem['h6C8] = 'h44; + mem['h6C9] = 'h44; + mem['h6CA] = 'h28; + mem['h6CB] = 'h10; + mem['h6CC] = 'h10; + mem['h6CD] = 'h10; + mem['h6CE] = 'h10; + mem['h6CF] = 'h00; + mem['h6D0] = 'h7C; + mem['h6D1] = 'h04; + mem['h6D2] = 'h08; + mem['h6D3] = 'h10; + mem['h6D4] = 'h20; + mem['h6D5] = 'h40; + mem['h6D6] = 'h7C; + mem['h6D7] = 'h00; + mem['h6D8] = 'h7C; + mem['h6D9] = 'h60; + mem['h6DA] = 'h60; + mem['h6DB] = 'h60; + mem['h6DC] = 'h60; + mem['h6DD] = 'h60; + mem['h6DE] = 'h7C; + mem['h6DF] = 'h00; + mem['h6E0] = 'h00; + mem['h6E1] = 'h40; + mem['h6E2] = 'h20; + mem['h6E3] = 'h10; + mem['h6E4] = 'h08; + mem['h6E5] = 'h04; + mem['h6E6] = 'h00; + mem['h6E7] = 'h00; + mem['h6E8] = 'h7C; + mem['h6E9] = 'h0C; + mem['h6EA] = 'h0C; + mem['h6EB] = 'h0C; + mem['h6EC] = 'h0C; + mem['h6ED] = 'h0C; + mem['h6EE] = 'h7C; + mem['h6EF] = 'h00; + mem['h6F0] = 'h00; + mem['h6F1] = 'h10; + mem['h6F2] = 'h28; + mem['h6F3] = 'h44; + mem['h6F4] = 'h00; + mem['h6F5] = 'h00; + mem['h6F6] = 'h00; + mem['h6F7] = 'h00; + mem['h6F8] = 'h00; + mem['h6F9] = 'h00; + mem['h6FA] = 'h00; + mem['h6FB] = 'h00; + mem['h6FC] = 'h00; + mem['h6FD] = 'h00; + mem['h6FE] = 'h00; + mem['h6FF] = 'hFF; + mem['h700] = 'h5C; + mem['h701] = 'h54; + mem['h702] = 'h54; + mem['h703] = 'h74; + mem['h704] = 'h54; + mem['h705] = 'h54; + mem['h706] = 'h5C; + mem['h707] = 'h00; + mem['h708] = 'h38; + mem['h709] = 'h44; + mem['h70A] = 'h44; + mem['h70B] = 'h44; + mem['h70C] = 'h7C; + mem['h70D] = 'h44; + mem['h70E] = 'h44; + mem['h70F] = 'h00; + mem['h710] = 'h7C; + mem['h711] = 'h40; + mem['h712] = 'h40; + mem['h713] = 'h78; + mem['h714] = 'h44; + mem['h715] = 'h44; + mem['h716] = 'h78; + mem['h717] = 'h00; + mem['h718] = 'h48; + mem['h719] = 'h48; + mem['h71A] = 'h48; + mem['h71B] = 'h48; + mem['h71C] = 'h48; + mem['h71D] = 'h48; + mem['h71E] = 'h7C; + mem['h71F] = 'h04; + mem['h720] = 'h1C; + mem['h721] = 'h24; + mem['h722] = 'h24; + mem['h723] = 'h24; + mem['h724] = 'h24; + mem['h725] = 'h24; + mem['h726] = 'h7E; + mem['h727] = 'h42; + mem['h728] = 'h7C; + mem['h729] = 'h40; + mem['h72A] = 'h40; + mem['h72B] = 'h78; + mem['h72C] = 'h40; + mem['h72D] = 'h40; + mem['h72E] = 'h7C; + mem['h72F] = 'h00; + mem['h730] = 'h38; + mem['h731] = 'h54; + mem['h732] = 'h54; + mem['h733] = 'h54; + mem['h734] = 'h38; + mem['h735] = 'h10; + mem['h736] = 'h10; + mem['h737] = 'h00; + mem['h738] = 'h7C; + mem['h739] = 'h40; + mem['h73A] = 'h40; + mem['h73B] = 'h40; + mem['h73C] = 'h40; + mem['h73D] = 'h40; + mem['h73E] = 'h40; + mem['h73F] = 'h00; + mem['h740] = 'h44; + mem['h741] = 'h44; + mem['h742] = 'h28; + mem['h743] = 'h10; + mem['h744] = 'h28; + mem['h745] = 'h44; + mem['h746] = 'h44; + mem['h747] = 'h00; + mem['h748] = 'h44; + mem['h749] = 'h44; + mem['h74A] = 'h44; + mem['h74B] = 'h4C; + mem['h74C] = 'h54; + mem['h74D] = 'h64; + mem['h74E] = 'h44; + mem['h74F] = 'h00; + mem['h750] = 'h54; + mem['h751] = 'h54; + mem['h752] = 'h44; + mem['h753] = 'h4C; + mem['h754] = 'h54; + mem['h755] = 'h64; + mem['h756] = 'h44; + mem['h757] = 'h00; + mem['h758] = 'h44; + mem['h759] = 'h48; + mem['h75A] = 'h50; + mem['h75B] = 'h60; + mem['h75C] = 'h50; + mem['h75D] = 'h48; + mem['h75E] = 'h44; + mem['h75F] = 'h00; + mem['h760] = 'h0C; + mem['h761] = 'h14; + mem['h762] = 'h24; + mem['h763] = 'h24; + mem['h764] = 'h24; + mem['h765] = 'h24; + mem['h766] = 'h44; + mem['h767] = 'h00; + mem['h768] = 'h44; + mem['h769] = 'h6C; + mem['h76A] = 'h54; + mem['h76B] = 'h54; + mem['h76C] = 'h44; + mem['h76D] = 'h44; + mem['h76E] = 'h44; + mem['h76F] = 'h00; + mem['h770] = 'h44; + mem['h771] = 'h44; + mem['h772] = 'h44; + mem['h773] = 'h7C; + mem['h774] = 'h44; + mem['h775] = 'h44; + mem['h776] = 'h44; + mem['h777] = 'h00; + mem['h778] = 'h38; + mem['h779] = 'h44; + mem['h77A] = 'h44; + mem['h77B] = 'h44; + mem['h77C] = 'h44; + mem['h77D] = 'h44; + mem['h77E] = 'h38; + mem['h77F] = 'h00; + mem['h780] = 'h7C; + mem['h781] = 'h44; + mem['h782] = 'h44; + mem['h783] = 'h44; + mem['h784] = 'h44; + mem['h785] = 'h44; + mem['h786] = 'h44; + mem['h787] = 'h00; + mem['h788] = 'h3C; + mem['h789] = 'h44; + mem['h78A] = 'h44; + mem['h78B] = 'h3C; + mem['h78C] = 'h14; + mem['h78D] = 'h24; + mem['h78E] = 'h44; + mem['h78F] = 'h00; + mem['h790] = 'h78; + mem['h791] = 'h44; + mem['h792] = 'h44; + mem['h793] = 'h78; + mem['h794] = 'h40; + mem['h795] = 'h40; + mem['h796] = 'h40; + mem['h797] = 'h00; + mem['h798] = 'h38; + mem['h799] = 'h44; + mem['h79A] = 'h40; + mem['h79B] = 'h40; + mem['h79C] = 'h40; + mem['h79D] = 'h44; + mem['h79E] = 'h38; + mem['h79F] = 'h00; + mem['h7A0] = 'h7C; + mem['h7A1] = 'h10; + mem['h7A2] = 'h10; + mem['h7A3] = 'h10; + mem['h7A4] = 'h10; + mem['h7A5] = 'h10; + mem['h7A6] = 'h10; + mem['h7A7] = 'h00; + mem['h7A8] = 'h44; + mem['h7A9] = 'h44; + mem['h7AA] = 'h44; + mem['h7AB] = 'h3C; + mem['h7AC] = 'h04; + mem['h7AD] = 'h44; + mem['h7AE] = 'h38; + mem['h7AF] = 'h00; + mem['h7B0] = 'h54; + mem['h7B1] = 'h54; + mem['h7B2] = 'h54; + mem['h7B3] = 'h38; + mem['h7B4] = 'h54; + mem['h7B5] = 'h54; + mem['h7B6] = 'h54; + mem['h7B7] = 'h00; + mem['h7B8] = 'h78; + mem['h7B9] = 'h44; + mem['h7BA] = 'h44; + mem['h7BB] = 'h78; + mem['h7BC] = 'h44; + mem['h7BD] = 'h44; + mem['h7BE] = 'h78; + mem['h7BF] = 'h00; + mem['h7C0] = 'h40; + mem['h7C1] = 'h40; + mem['h7C2] = 'h40; + mem['h7C3] = 'h78; + mem['h7C4] = 'h44; + mem['h7C5] = 'h44; + mem['h7C6] = 'h78; + mem['h7C7] = 'h00; + mem['h7C8] = 'h44; + mem['h7C9] = 'h44; + mem['h7CA] = 'h44; + mem['h7CB] = 'h74; + mem['h7CC] = 'h54; + mem['h7CD] = 'h54; + mem['h7CE] = 'h74; + mem['h7CF] = 'h00; + mem['h7D0] = 'h38; + mem['h7D1] = 'h44; + mem['h7D2] = 'h04; + mem['h7D3] = 'h18; + mem['h7D4] = 'h04; + mem['h7D5] = 'h44; + mem['h7D6] = 'h38; + mem['h7D7] = 'h00; + mem['h7D8] = 'h54; + mem['h7D9] = 'h54; + mem['h7DA] = 'h54; + mem['h7DB] = 'h54; + mem['h7DC] = 'h54; + mem['h7DD] = 'h54; + mem['h7DE] = 'h7C; + mem['h7DF] = 'h00; + mem['h7E0] = 'h78; + mem['h7E1] = 'h04; + mem['h7E2] = 'h04; + mem['h7E3] = 'h3C; + mem['h7E4] = 'h04; + mem['h7E5] = 'h04; + mem['h7E6] = 'h78; + mem['h7E7] = 'h00; + mem['h7E8] = 'h54; + mem['h7E9] = 'h54; + mem['h7EA] = 'h54; + mem['h7EB] = 'h54; + mem['h7EC] = 'h54; + mem['h7ED] = 'h54; + mem['h7EE] = 'h7C; + mem['h7EF] = 'h04; + mem['h7F0] = 'h44; + mem['h7F1] = 'h44; + mem['h7F2] = 'h44; + mem['h7F3] = 'h3C; + mem['h7F4] = 'h04; + mem['h7F5] = 'h04; + mem['h7F6] = 'h04; + mem['h7F7] = 'h00; + mem['h7F8] = 'h60; + mem['h7F9] = 'h20; + mem['h7FA] = 'h20; + mem['h7FB] = 'h38; + mem['h7FC] = 'h24; + mem['h7FD] = 'h24; + mem['h7FE] = 'h38; + mem['h7FF] = 'h00; Index: trunk/digger/ag_ram.v =================================================================== --- trunk/digger/ag_ram.v (nonexistent) +++ trunk/digger/ag_ram.v (revision 5) @@ -0,0 +1,253 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Odintsov Oleg +// +// Create Date: 11:15:41 02/24/2012 +// Design Name: +// Module Name: ag_ram +// Project Name: Agat Hardware Project +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +// Enable the following define to use synchronous memory instead of +// asynchronous (which has been used in real Agats). +// The use of the synchronous memory will improve hardware design on FPGA +`define AG_RAM_SYNCHRONOUS + + +`ifdef AG_RAM_SYNCHRONOUS + +module RAM16Kx1(input CLK1, input[13:0] AB1, input CS1, input READ, + output DO1, input DI1, + input CLK2, input[13:0] AB2, input CS2, output DO2); + parameter + D_00 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_01 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_02 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_03 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_04 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_05 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_06 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_07 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_08 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_09 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_0A = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_0B = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_0C = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_0D = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_0E = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_0F = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + // Address 4096 to 8191 + D_10 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_11 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_12 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_13 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_14 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_15 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_16 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_17 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_18 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_19 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_1A = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_1B = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_1C = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_1D = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_1E = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_1F = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + // Address 8192 to 12287 + D_20 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_21 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_22 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_23 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_24 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_25 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_26 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_27 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_28 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_29 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_2A = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_2B = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_2C = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_2D = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_2E = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_2F = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + // Address 12288 to 16383 + D_30 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_31 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_32 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_33 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_34 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_35 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_36 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_37 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_38 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_39 = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_3A = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_3B = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_3C = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_3D = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_3E = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC, + D_3F = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC; + + wire DO1x, DO2x; + assign DO1 = CS1? DO1x: 1'bZ; + assign DO2 = CS2? DO2x: 1'bZ; + // RAMB16_S1_S1: 16k x 1 Dual-Port RAM + // Spartan-3E + // Xilinx HDL Language Template, version 13.3 + + RAMB16_S1_S1 #( + .INIT_A(1'b0), // Value of output RAM registers on Port A at startup + .INIT_B(1'b0), // Value of output RAM registers on Port B at startup + .SRVAL_A(1'b0), // Port A output value upon SSR assertion + .SRVAL_B(1'b0), // Port B output value upon SSR assertion + .WRITE_MODE_A("WRITE_FIRST"), // WRITE_FIRST, READ_FIRST or NO_CHANGE + .WRITE_MODE_B("WRITE_FIRST"), // WRITE_FIRST, READ_FIRST or NO_CHANGE + .SIM_COLLISION_CHECK("ALL"), // "NONE", "WARNING_ONLY", "GENERATE_X_ONLY", "ALL" + + // The following INIT_xx declarations specify the initial contents of the RAM + // Address 0 to 4095 + .INIT_00(D_00), .INIT_01(D_01), .INIT_02(D_02), .INIT_03(D_03), + .INIT_04(D_04), .INIT_05(D_05), .INIT_06(D_06), .INIT_07(D_07), + .INIT_08(D_08), .INIT_09(D_09), .INIT_0A(D_0A), .INIT_0B(D_0B), + .INIT_0C(D_0C), .INIT_0D(D_0D), .INIT_0E(D_0E), .INIT_0F(D_0F), + // Address 4096 to 8191 + .INIT_10(D_10), .INIT_11(D_11), .INIT_12(D_12), .INIT_13(D_13), + .INIT_14(D_14), .INIT_15(D_15), .INIT_16(D_16), .INIT_17(D_17), + .INIT_18(D_18), .INIT_19(D_19), .INIT_1A(D_1A), .INIT_1B(D_1B), + .INIT_1C(D_1C), .INIT_1D(D_1D), .INIT_1E(D_1E), .INIT_1F(D_1F), + // Address 8192 to 12287 + .INIT_20(D_20), .INIT_21(D_21), .INIT_22(D_22), .INIT_23(D_23), + .INIT_24(D_24), .INIT_25(D_25), .INIT_26(D_26), .INIT_27(D_27), + .INIT_28(D_28), .INIT_29(D_29), .INIT_2A(D_2A), .INIT_2B(D_2B), + .INIT_2C(D_2C), .INIT_2D(D_2D), .INIT_2E(D_2E), .INIT_2F(D_2F), + // Address 12288 to 16383 + .INIT_30(D_30), .INIT_31(D_31), .INIT_32(D_32), .INIT_33(D_33), + .INIT_34(D_34), .INIT_35(D_35), .INIT_36(D_36), .INIT_37(D_37), + .INIT_38(D_38), .INIT_39(D_39), .INIT_3A(D_3A), .INIT_3B(D_3B), + .INIT_3C(D_3C), .INIT_3D(D_3D), .INIT_3E(D_3E), .INIT_3F(D_3F) + ) RAMB16_S1_S1_inst ( + .DOA(DO1x), // Port A 1-bit Data Output + .DOB(DO2x), // Port B 1-bit Data Output + .ADDRA(AB1), // Port A 14-bit Address Input + .ADDRB(AB2), // Port B 14-bit Address Input + .CLKA(CLK1), // Port A Clock + .CLKB(CLK2), // Port B Clock + .DIA(DI1), // Port A 1-bit Data Input + .DIB(1'bZ), // Port B 1-bit Data Input + .ENA(CS1), // Port A RAM Enable Input + .ENB(CS2), // Port B RAM Enable Input + .SSRA(1'b0), // Port A Synchronous Set/Reset Input + .SSRB(1'b0), // Port B Synchronous Set/Reset Input + .WEA(~READ), // Port A Write Enable Input + .WEB(1'b0) // Port B Write Enable Input + ); +endmodule + + +`else + + +module RAM1Kx1(input CLK1, input[9:0] AB1, input CS1, input READ, + output DO1, input DI1, + input CLK2, input[9:0] AB2, input CS2, output DO2); + parameter FILL = 256'h33333333333333333333333333333333CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC; + reg mem[0:'h3FF]; + integer i; + + initial + for (i = 0; i < 'h400; i = i + 1) + mem[i] = (FILL&(256'b01<<(i&'hFF)))?1'b1:1'b0; + + assign DO1 = (CS1 && READ)? mem[AB1]: 1'bZ; + assign DO2 = CS2? mem[AB2]: 1'bZ; + always @(posedge CLK1) if (CS1 && !READ) mem[AB1] <= DI1; +endmodule + + + +module RAM16Kx1(input CLK1, input[13:0] AB1, input CS1, input READ, + output DO1, input DI1, + input CLK2, input[13:0] AB2, input CS2, output DO2); + wire[3:0] SEL1 = AB1[13:10]; + wire[3:0] SEL2 = AB2[13:10]; + + RAM1Kx1 ram0(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h0), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h0), DO2); + RAM1Kx1 ram1(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h1), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h1), DO2); + RAM1Kx1 ram2(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h2), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h2), DO2); + RAM1Kx1 ram3(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h3), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h3), DO2); + RAM1Kx1 ram4(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h4), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h4), DO2); + RAM1Kx1 ram5(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h5), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h5), DO2); + RAM1Kx1 ram6(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h6), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h6), DO2); + RAM1Kx1 ram7(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h7), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h7), DO2); + RAM1Kx1 ram8(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h8), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h8), DO2); + RAM1Kx1 ram9(CLK1, AB1[9:0], CS1 && (SEL1 == 4'h9), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'h9), DO2); + RAM1Kx1 ramA(CLK1, AB1[9:0], CS1 && (SEL1 == 4'hA), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'hA), DO2); + RAM1Kx1 ramB(CLK1, AB1[9:0], CS1 && (SEL1 == 4'hB), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'hB), DO2); + RAM1Kx1 ramC(CLK1, AB1[9:0], CS1 && (SEL1 == 4'hC), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'hC), DO2); + RAM1Kx1 ramD(CLK1, AB1[9:0], CS1 && (SEL1 == 4'hD), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'hD), DO2); + RAM1Kx1 ramE(CLK1, AB1[9:0], CS1 && (SEL1 == 4'hE), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'hE), DO2); + RAM1Kx1 ramF(CLK1, AB1[9:0], CS1 && (SEL1 == 4'hF), READ, DO1, DI1, + CLK2, AB2[9:0], CS2 && (SEL2 == 4'hF), DO2); +endmodule + +`endif // synchronous + + +/* + Data bus for video controller: + A0=0, DO2: A0=1, DO2: + 15 14 13 12 11 10 09 08 07 06 05 04 03 02 01 00 + Data bus for processor: + A0=0, DO1/DI1: A0=1, DO1/DI1: + 07 06 05 04 03 02 01 00 07 06 05 04 03 02 01 00 +*/ +module RAM32Kx8x16(input CLK1, input[14:0] AB1, input CS1, + input READ, output[7:0] DO1, input[7:0] DI1, + input CLK2, input[13:0] AB2, input CS2, output[15:0] DO2); + wire[1:0] CSM = {(~AB1[0]) & CS1, AB1[0] & CS1}; // CS for modules + wire[13:0] AB1x = AB1[14:1]; +`include "digger.v" +/* RAM16Kx1 ram0(CLK1, AB1x, CSM[0], READ, DO1[0], DI1[0], CLK2, AB2, CS2, DO2[0]); + RAM16Kx1 ram1(CLK1, AB1x, CSM[0], READ, DO1[1], DI1[1], CLK2, AB2, CS2, DO2[1]); + RAM16Kx1 ram2(CLK1, AB1x, CSM[0], READ, DO1[2], DI1[2], CLK2, AB2, CS2, DO2[2]); + RAM16Kx1 ram3(CLK1, AB1x, CSM[0], READ, DO1[3], DI1[3], CLK2, AB2, CS2, DO2[3]); + RAM16Kx1 ram4(CLK1, AB1x, CSM[0], READ, DO1[4], DI1[4], CLK2, AB2, CS2, DO2[4]); + RAM16Kx1 ram5(CLK1, AB1x, CSM[0], READ, DO1[5], DI1[5], CLK2, AB2, CS2, DO2[5]); + RAM16Kx1 ram6(CLK1, AB1x, CSM[0], READ, DO1[6], DI1[6], CLK2, AB2, CS2, DO2[6]); + RAM16Kx1 ram7(CLK1, AB1x, CSM[0], READ, DO1[7], DI1[7], CLK2, AB2, CS2, DO2[7]); + + RAM16Kx1 ram8(CLK1, AB1x, CSM[1], READ, DO1[0], DI1[0], CLK2, AB2, CS2, DO2[8]); + RAM16Kx1 ram9(CLK1, AB1x, CSM[1], READ, DO1[1], DI1[1], CLK2, AB2, CS2, DO2[9]); + RAM16Kx1 ramA(CLK1, AB1x, CSM[1], READ, DO1[2], DI1[2], CLK2, AB2, CS2, DO2[10]); + RAM16Kx1 ramB(CLK1, AB1x, CSM[1], READ, DO1[3], DI1[3], CLK2, AB2, CS2, DO2[11]); + RAM16Kx1 ramC(CLK1, AB1x, CSM[1], READ, DO1[4], DI1[4], CLK2, AB2, CS2, DO2[12]); + RAM16Kx1 ramD(CLK1, AB1x, CSM[1], READ, DO1[5], DI1[5], CLK2, AB2, CS2, DO2[13]); + RAM16Kx1 ramE(CLK1, AB1x, CSM[1], READ, DO1[6], DI1[6], CLK2, AB2, CS2, DO2[14]); + RAM16Kx1 ramF(CLK1, AB1x, CSM[1], READ, DO1[7], DI1[7], CLK2, AB2, CS2, DO2[15]);*/ +endmodule Index: trunk/digger/ag_main.v =================================================================== --- trunk/digger/ag_main.v (nonexistent) +++ trunk/digger/ag_main.v (revision 5) @@ -0,0 +1,177 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Oleg Odintsov +// +// Create Date: 15:09:47 01/19/2012 +// Design Name: +// Module Name: ag_main +// Project Name: Agat Hardware Project +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +module RAM2kx8(input CLK, input[10:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI); + reg[7:0] mem[0:2047]; + reg[7:0] R; + assign DO = CS? R: 8'bZ; + initial begin + `include "monitor7.v" + mem['h7FC] = 8'h00; + mem['h7FD] = 8'h56; + end + always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI; +endmodule + +module RAM4kx8(input CLK, input[11:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI); + reg[7:0] mem[0:4095]; + reg[7:0] R; + assign DO = CS? R: 8'bZ; + always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI; +endmodule + +module RAM8kx8(input CLK, input[12:0] AB, input CS, input READ, output[7:0] DO, input[7:0] DI); + reg[7:0] mem[0:8191]; + reg[7:0] R; + assign DO = CS? R: 8'bZ; + always @(posedge CLK) if (CS) if (READ) R <= mem[AB]; else mem[AB] <= DI; +endmodule + +module ag_main( + input clk50, + input[4:0] btns, + input[3:0] switches, + output[7:0] leds, + output[3:0] controls, + output[4:0] vga_bus, + input[1:0] ps2_bus_in, + output clk_cpu + ); + + wire clk1, clk1x, clk10; + reg turbo = 0; + clk_div#5 cd5(clk50, clk10); + clk_div#10 cd10(clk10, clk1x); + assign clk1 = turbo?clk10:clk1x; + + + wire clk_vram; + wire[13:0] AB2; + wire[15:0] DI2; + + wire [15:0] AB; // address bus + wire [7:0] DI; // data in, read bus + wire [7:0] DO; // data out, write bus + wire read; + wire rom_cs, ram_cs, xram_cs; + wire phi_1, phi_2; + + RAM32Kx8x16 base_ram(phi_2, AB[14:0], ram_cs, read, DI, DO, + clk_vram, AB2, 1, DI2); + RAM2kx8 rom1(phi_2, AB[10:0], rom_cs, read, DI, DO); + RAM8kx8 xram(phi_2, AB[12:0], xram_cs, read, DI, DO); + + wire [3:0] AB_HH = AB[15:12]; + wire [3:0] AB_HL = AB[11:8]; + wire [3:0] AB_LH = AB[7:4]; + wire [3:0] AB_LL = AB[3:0]; + wire [7:0] AB_H = AB[15:8]; + wire [7:0] AB_L = AB[7:0]; + wire AB_CXXX = (AB_HH == 4'hC); + wire AB_FXXX = (AB_HH == 4'hF); + + wire AB_C0XX = AB_CXXX && !AB_HL; + + wire AB_C00X = AB_C0XX && (AB_LH == 4'h0); + wire AB_C01X = AB_C0XX && (AB_LH == 4'h1); + wire AB_C02X = AB_C0XX && (AB_LH == 4'h2); + wire AB_C03X = AB_C0XX && (AB_LH == 4'h3); + wire AB_C04X = AB_C0XX && (AB_LH == 4'h4); + wire AB_C05X = AB_C0XX && (AB_LH == 4'h5); + wire AB_C7XX = AB_CXXX && (AB_HL == 4'h7); + + reg timer_ints = 0; + + assign rom_cs = AB_FXXX && AB[11]; // F800-FFFF + assign ram_cs = !AB[15]; + assign xram_cs = (AB_HH[3:1] == 3'b100); + + + reg reset_auto = 1; + wire reset; + wire WE = ~read; // write enable + supply0 IRQ; // interrupt request + wire NMI; // non-maskable interrupt request + supply1 RDY; // Ready signal. Pauses CPU when RDY=0 + supply1 SO; // Set Overflow, not used. + wire SYNC; + + + assign NMI = timer_ints & vga_bus[0]; + + reg[7:0] vmode = 0; + wire[7:0] key_reg; + reg[7:0] b_reg; + reg[4:0] lb; + wire key_rus; + reg key_clear = 0; + wire key_rst, key_pause; + + reg beep_reg = 0, tape_out_reg = 0; + + + assign reset = 0;//btns[0]; + assign leds = AB[11:4]; + assign controls = {1'b0, beep_reg ^ tape_out_reg, tape_out_reg, beep_reg}; + + ag_video video(clk50, vmode, clk_vram, AB2, DI2, vga_bus); + + + wire[1:0] ps2_bus; + + signal_filter sf1(clk1, ps2_bus_in[0], ps2_bus[0]); + signal_filter sf2(clk1, ps2_bus_in[1], ps2_bus[1]); + + + ag_keyb keyb(phi_2, ps2_bus, key_reg, key_clear, key_rus, key_rst, key_pause); + + assign DI = (AB_C00X && !WE)?b_reg?b_reg:key_reg:8'bZ; + wire reset_all = reset | reset_auto | key_rst; + + always @(posedge phi_2) begin + turbo <= switches[0]; + key_clear <= AB_C01X; + if (AB_C01X) b_reg <= 0; + else if (AB_C04X) timer_ints <= 1; + else if (AB_C05X || reset_all) timer_ints <= 0; + + if (btns[2] & ~lb[2]) b_reg <= 8'h9A; + else if (btns[0] & ~lb[0]) b_reg <= 8'h95; + else if (btns[1] & ~lb[1]) b_reg <= 8'h99; + else if (btns[3] & ~lb[3]) b_reg <= 8'h88; + else if (btns[4] & ~lb[4]) b_reg <= 8'hC9; + lb <= btns; + + if (AB_C02X) tape_out_reg <= ~tape_out_reg; + if (AB_C03X) beep_reg <= ~beep_reg; + if (AB_C7XX) vmode <= AB_L; + end + always @(posedge vga_bus[0]) begin + reset_auto <= 0; + end + + ag6502_ext_clock clk(clk50, clk1, phi_1, phi_2); + ag6502 cpu(clk1, phi_1, phi_2, AB, read, DI, DO, + RDY & ~key_pause, ~reset_all, ~IRQ, ~NMI, SO, SYNC); + + assign clk_cpu = clk1; + +endmodule Index: trunk/digger/digger.v =================================================================== --- trunk/digger/digger.v (nonexistent) +++ trunk/digger/digger.v (revision 5) @@ -0,0 +1,1073 @@ +parameter + D_0_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_2B = 256'h21C5950080010000090FF0427009BE8415EC15183D34D72864BEA4A264320D85, + D_0_2C = 256'h6C455936C00000000000000000000000000000000000000000000CF000003271, + D_0_2D = 256'hFC1B6036145580675828A521804812E9B54055386852019C514A64DE6D4A1927, + D_0_2E = 256'h0054AC5704D008B580DDC805F076DC111C30F4C64400401C1CD9C4B30476DC1B, + D_0_2F = 256'h8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF63C0222DEF26004A4876000000DB5C00, + D_0_30 = 256'hFFB8FF8FFDFFDDBDFFCFF8FF8FFFFFFFFFFF9FFBFF9FFFFFFBBFFFFBBFFFFFFF, + D_0_31 = 256'hFFF8FF8FFFDDDDDDDDFFF8888FFFFFFFFFAC88AA8EDFFDDFDFFAABBBBBFFFFFF, + D_0_32 = 256'hFC888889CCCDDDDDDD9CCDFBCBFFFFFFFDDFDDDFFFFFFFDDDFFCFAFAEAFFFFFF, + D_0_33 = 256'hFFFF8FFFEEFD9B8FFFFAAEEAEEFFFFFFFFEF9FFDEEFEDF9B9A8EDFBFEEFFFFFF, + D_0_34 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFABFFFFFFFFFF, + D_0_35 = 256'h00000000007BF80198187E5555555555AAAAAAAAAAAAAAAA5FFFFFFFFFFFFFFF, + D_0_36 = 256'h4925D62AAA8500D08A8924DC9701B60245000452593108591215F5403614C9F9, + D_0_37 = 256'h00000000F7F0033030FC04DA52600198F028928B2ABA986942D60881620042AA, + D_0_38 = 256'h2438B2B0910830812092369E407989032589AFA0782E03FF3C5F5AFF00000000, + D_0_39 = 256'hED88AB26D8000000000000000000000000000000000000000000019E0000064E, + D_0_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_0_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_1_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_2B = 256'h8044DA94A94A9429439004A502DE8192B000693600850D2514815B400028B4A5, + D_1_2C = 256'hA95500C0672A8631842184218C610D6104214C61C46186318F2AC74001004DA7, + D_1_2D = 256'hAC00305494507C76482E103008528500AF8050B53C04B6450C4881756B48A188, + D_1_2E = 256'h0036A00E0014B0210045C8EF44861C02C022106960183411000C600940D48003, + D_1_2F = 256'h8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF100028890001A98800C000000001C800, + D_1_30 = 256'hF88F88F88A88A88A88B88F88F88FFFFFF888E88C88E888888CC8888CE88FFFFF, + D_1_31 = 256'hF88F88F888A8AAAAAA888FDAF88FFFFFFFDAFDFCF9A888A8A88DCCCCCC8FFFFF, + D_1_32 = 256'hFBFFDFFEBBBAAAAAAAEABA8CBC8FFFFFD8AAAAA8888888AAA88B8D8D8D8FFFFF, + D_1_33 = 256'hF888F8888988A8F8888D999D998FFFFFF898E88A8989A8ECCDF9A8C8D98FFFFF, + D_1_34 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFABFFFFFFFFFF, + D_1_35 = 256'hCC406B405B287C0046D8005555555555AAAAAAAAAAAAAAAA5FFFFFFFFFFFFFFF, + D_1_36 = 256'h269119004001AE1E1006DB1EF86041B56840C020955A4C00D408081280450848, + D_1_37 = 256'h80D680B650F8008DB001B000000904030D106D000F9C40020880C30C0801E003, + D_1_38 = 256'hF0089B5285285295065810A433056080480042102B1083FF3C5F5AFFEFDDB798, + D_1_39 = 256'h152AA0180CE550C630843084318C21AC2084298C388C30C631E558E8002009B4, + D_1_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_1_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_2_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_2B = 256'h28558A84B84A84B8428AA00122013496B449314228209F2A5CAEEDA10032F125, + D_2_2C = 256'hA565A266800000000000000000000000000000000000000000000D0000004494, + D_2_2D = 256'hFC134003BC5A2004C000E401C802864983BA5AA1B846825C7B363B054D0CFB44, + D_2_2E = 256'h006C300CB0D494998CE5D897F0E49C22703320DCBC09382AC080BC6358C0E02B, + D_2_2F = 256'h0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3580A6804A46A024D2940000009A5400, + D_2_30 = 256'hFFF8FF8EE8AA8AA8AA8FF8FE8FFF0000FFFF88AAAA8EEEFEEAFFBBFFBFFF0000, + D_2_31 = 256'hFFF8FF8AEECEEEEEECEEE8DF8FFF0000FFECC8AACEDFFDDFDFFEEEEEEEFF0000, + D_2_32 = 256'hFCE6EEEFEEEFFFDDFFDCCDBFC97F0000D0101112002000E4CFFCF0C8C0FF0000, + D_2_33 = 256'hEFFF88888888888FFFF8FFEAFEFF0000FFC8CEECEEFA9B8EEF9FDEEEFFFF0000, + D_2_34 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFABFFFFFFFFFF, + D_2_35 = 256'h00406B405B000480CE98545555555555AAAAAAAAAAAAAAAA5FFFFFFFFFFFFFFF, + D_2_36 = 256'hE003C1806A000A949402491EB620E3A1481040035C2D904C40DCA51794504524, + D_2_37 = 256'h80D680B60009019D30A9B20040195C02A900240900426066406008393A03F001, + D_2_38 = 256'h850AB1508708509724923450632DE98344008FA0322C10000000000000000000, + D_2_39 = 256'h94ACB44CD000000000000000000000000000000000000000000001A000000892, + D_2_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_2_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_3_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_2B = 256'h4BD50D000000009008055304544FCAB19924065C1419441081111240A25069C0, + D_3_2C = 256'hA9450E00072A8631842184218C610D6104214C61C46186318F2AC8E000006244, + D_3_2D = 256'hE8000030A45018CC60012800A84800B117D65029593481441A046B8B4D288057, + D_3_2E = 256'h00004C194036E089040DC89A40905C038023BCA4E018202D1CD3E00148DE5803, + D_3_2F = 256'h0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF454E69ADA42997F228A0000000005000, + D_3_30 = 256'hF00700711755755755700700700FFFFFF00075555571110115000000420FFFFF, + D_3_31 = 256'hF00700711131111113111700700FFFFFF71137753120002020011111110FFFFF, + D_3_32 = 256'hF31911100110002200033240160FFFFFF3E66667622000E460030F373F0FFFFF, + D_3_33 = 256'hE00077777777777000070014010FFFFFF03531131105647110602111C00FFFFF, + D_3_34 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFABFFFFFFFFFF, + D_3_35 = 256'h00000000000000011010AA5555555555AAAAAAAAAAAAAAAA5FFFFFFFFFFFFFFF, + D_3_36 = 256'h5FFC2BAA8269BC6122CDB64949CD141073C1800594F00051929559102254C53E, + D_3_37 = 256'h0000000000000220A15400480060011056AFDBB84C0E8AA89A244B35430072AC, + D_3_38 = 256'h897AA1A0000000020025CB6A405576753A2D122035A380000000000000000000, + D_3_39 = 256'hF528A1C000E550C630843084318C21AC2084298C388C30C631E5591C00000C48, + D_3_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_3_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_4_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_2B = 256'hA080708D08D88D08D880000B020100800292000283002100A00000054200A42C, + D_4_2C = 256'h1038A0822000000000000000000000000000000000000000000002A00100092A, + D_4_2D = 256'h04011043188A4C33248143461145B000286C8A16A440150B2492503082C4228B, + D_4_2E = 256'h001B3016E0A070A60060004504620008E00048781C10DC12201C1CE08001A060, + D_4_2F = 256'h8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8EDF289F813A80532C40000000088000, + D_4_30 = 256'hFFF8FF9FF9FFD7FCFF9FF8FF87FFFFFFFFFFFDDDDDFFEEEFFBEFB9FFBFFFFFFF, + D_4_31 = 256'hFFF8EF9FFF99999999FFF9D98FFFFFFFFFECCEAECEFDDDFFDFF3333333FFFFFF, + D_4_32 = 256'hFEE6EFEEEEFFFFFFD9FFB8DFFB6FFFFFD32766645111102F0FECF3F3D3FFFFFF, + D_4_33 = 256'hEFFF07FFFFFFFF8FFBB99988FFFFFFFFF79DDDDDFFFEDF2DDDEECEFFFFFFFFFF, + D_4_34 = 256'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFABFFFFFFFFFF, + D_4_35 = 256'h00000000007BB8022140015555555555AAAAAAAAAAAAAAAA5FFFFFFFFFFFFFFF, + D_4_36 = 256'h6493DE551261893572C9245790A208428225013594500080118002404B4C618B, + D_4_37 = 256'h00000000F770044200024C96B684A2A809BF92820C0C10002564A40486D04D51, + D_4_38 = 256'h54100E11A11B11A11010124208846480061607904861505DDAB4BD2900000000, + D_4_39 = 256'h6207141044000000000000000000000000000000000000000000005400200125, + D_4_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_4_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_5_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_2B = 256'h100A08731739738731755008C9815A59D6A5CC09D5D154D0405A49092A0A2593, + D_5_2C = 256'h82820C091FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00FFEFF8004, + D_5_2D = 256'hFFE48FFFFF20FFFFFF00C38C123A79A080812040128948208201000010110403, + D_5_2E = 256'hFF807FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + D_5_2F = 256'h8E7021E7F81210870843C3C38421E1E13BFFB1E9358AE251EF09FFFFFF2423FF, + D_5_30 = 256'hF00700600600200200600700700FFFFFF00002222200001004100000402FFFFF, + D_5_31 = 256'hF00700600066666646000624700FFFFFFF13315131022200200CCCCCCC0FFFFF, + D_5_32 = 256'hF11910111100000026000720001FFFFFEF2666666EEEEFD000130C0C2C0FFFFF, + D_5_33 = 256'hF000F8000000007004466676000FFFFFF8622222000120D222113000C44FFFFF, + D_5_34 = 256'h002040010004001000030000002002000000020100020C000010AA1FE67E7FFF, + D_5_35 = 256'h7C3F915522503A04CE82AA5555555555AAAAAAAAAAAAAAAA4000000000020008, + D_5_36 = 256'h1DB601555096724081324988251CD3BD51430E4A6104D5734ECF55999783F79A, + D_5_37 = 256'h7F22AA44A074099DCD54BD6B7BA31ECD5600240DB293EDB9401F80E83ADCA952, + D_5_38 = 256'h8201410E62E72E70D96BC9AD1719090DF94EE8000F73005DDAB4BD29999BD8F8, + D_5_39 = 256'h7050418123FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC01FFDFF000, + D_5_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_5_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_6_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_2B = 256'hEBD5FE8D38DB8DA8DB80010F164653B3AB13025E8209092A1DD224AF9722EE64, + D_6_2C = 256'h7D7DF3F6E00000000000000000000000000000000000000000000FF001007FFB, + D_6_2D = 256'hBC1B707FBCDFFCFFFD2C3A3E8A47A0123F7EDFBFED76B7DF7DFEFFFFEFEEFBFC, + D_6_2E = 256'h007FBC5FB476FCBF8CFDD8FFF4F6DC39DC32FC7FDC1BFC3FEC5DDCFBD47FFC7B, + D_6_2F = 256'h08000002000000010000000100000000569A0E12000835B020F6000000DBDC00, + D_6_30 = 256'hFDF0771571775775771770770FFF0000FFFF222E22AEEEEEEAAFFFFBBFFF0000, + D_6_31 = 256'hFFF8FF9FFF9FFFFFB9FFF9BB8FFF0000FFFCE666EDFFFFFDFFFEEE2E21FF0000, + D_6_32 = 256'hFEEE6767FFFFFFFEEFDDBFCDBAFF0000CEEFEAEC400000223774F2E1E27F0000, + D_6_33 = 256'hEFFF77FFFFFFFFFFFFFCD564FEFF0000FA6EEEEEEEE6466EEF7FDEEFFDFF0000, + D_6_34 = 256'h0000000000000000000000000000000000000000000000000000AA1E0220390C, + D_6_35 = 256'h003F915DA200030777A6015555555555AAAAAAAAAAAAAAAA4000000000000000, + D_6_36 = 256'h76D001FFDACFCE7432F924DE903F4DE7E0A58739055495C1116411106B246D8E, + D_6_37 = 256'h7F22BB4400060EEF0403F804A4C5F13009BF92B87E9E03A1BF20F03AC630BFFF, + D_6_38 = 256'h7D7ABFD1A71B71B55335DB848DD476FD717930000E41C0000000000000000000, + D_6_39 = 256'h8FAFBE7EDC00000000000000000000000000000000000000000001FE00200FFF, + D_6_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_6_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_7_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_2B = 256'h0000003903983983983FF10C60816CA007FFFC0CFFF1D850602D8005E03A2F40, + D_7_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_2D = 256'h000000000000000000008F86201F3FE300000000000000000000000000000000, + D_7_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_2F = 256'h88000000000000000000000000000000FED020BFFFA882424800000000000000, + D_7_30 = 256'hF00F88E88E88A88A88E88F88F00FFFFFF000DDD1DD51111115500004420FFFFF, + D_7_31 = 256'hF00700600060000006000604700FFFFFFF02199912000002000111D11E0FFFFF, + D_7_32 = 256'hF11198980000000110224032450FFFFFCC511511888888AA008B0D1E1D0FFFFF, + D_7_33 = 256'hE00000000000000000030A9A010FFFFFF19111111119B99111902000820FFFFF, + D_7_34 = 256'h0000000000000000000000000000000000000000000000000000AA0800000108, + D_7_35 = 256'h0000000000000003FF80FF5555555555AAAAAAAA2AAAAAAA4000000000000000, + D_7_36 = 256'h1FFEAA7FF8383F808000000107C3FFED60019842C000409EC6B9E447FE718938, + D_7_37 = 256'h00000000000007FF49FFFFFFFFFFFDFFF6000003C000FE4E7F5BF8CFFF07CFFC, + D_7_38 = 256'h000000072073073024DA2438FFE809024019C8000E3FC0000000000000000000, + D_7_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_7_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_8_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_2B = 256'hAA536750B51B11B31B2B0144306C610A74084000322089A31CD092385E01096C, + D_8_2C = 256'hCF7488B2C00000000000000000000000000000000000000000001A8001005922, + D_8_2D = 256'h943970CDFC48D8EC1C7EB05810A40A404A7648BD0A5FDC5139B95543E6415519, + D_8_2E = 256'h012720892C4B25DD7CA678EC091EA46090ACA0DBB85B786F406CB8CA788E40B4, + D_8_2F = 256'hA1FC63C6AB75BBFE3BFE5E7BB1FF2F3D81376781052850132C80000000CB3400, + D_8_30 = 256'hFFF0EE8EE8E6AAA8EE8EE8FF0FFFFFFFFFFFFD111D7FFFFFFFBBBBBBFFFFFFFF, + D_8_31 = 256'hFFF0660666000000006660600FFFFFFFFFF376667FDDDDDFFFFDCC1110FFFFFF, + D_8_32 = 256'hFE66E6777FFFFFFFFFE957BFCFFFFFFFFF666CC1115101CD67B8B2F3C2FFFFFF, + D_8_33 = 256'hFFFF9D7777777D9FF7700028EEFFFFFFFBB33BBBFFEEF9111019EE4EDDFFFFFF, + D_8_34 = 256'hFFFFEFFF54A7FFFFFF7F5555FFEAAAFFFFFFFFFFFFFEA9ABFFFFABFFFFFFFFFF, + D_8_35 = 256'h00000000007BF805C4B7A05555555555AAAAAAAAAAAAAAAA5FEFFFFFDEFEB94F, + D_8_36 = 256'h7920AA41A7400426B3F492FF442181281626AFF9FFF9FE2E0101A22AA08823BF, + D_8_37 = 256'h00000000F7F00B896F4124129252322109BE48C2003091994A60440028941C93, + D_8_38 = 256'h554A6EEA36A16216024013285650168C558026A0024C833F1FB7990F00000000, + D_8_39 = 256'h39EE911658000000000000000000000000000000000000000000035000200B24, + D_8_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_8_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_9_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_2B = 256'h834C1026007007067029C1E5680268210B966CD21A52890800026D8E40604102, + D_9_2C = 256'h659E089BD392519C518C518C430CC30CCB4C590CD10C519C5B92C22001004D32, + D_9_2D = 256'hF02DF0470DE0004445018A2E882EEFA562C1E0D050581515108009145315BC10, + D_9_2E = 256'h00213C121D514C0D849F5804212AF43114B4400B9848000648D518BB5086389E, + D_9_2F = 256'hAAFEF7A8D47444B57FFBA5BDFBFDD2DE800810253920A38089000000006F1400, + D_9_30 = 256'hF00F11711711555711711700F00FFFFFF000022E2280000000444444200FFFFF, + D_9_31 = 256'hF00F99F999F9FFFFFF999F9FF00FFFFFFF04899980222220000223EEEF0FFFFF, + D_9_32 = 256'hF0911988800000000016A840300FFFFFF019923AAAAEFE3298474D0C2D0FFFFF, + D_9_33 = 256'hF000628888888260088FD757110FFFFFF44C4444001106EEEFE600A0A20FFFFF, + D_9_34 = 256'h5FDFAAFEEBFBAFEFF77FBFFFEFFFFFF7FFF7FEFEEAADD6DDDFFB02FCA9650EF5, + D_9_35 = 256'hCFD5333FB32879044200105555555555AAAAAAAAAAAAAAAA5FAAFBFF55FDC7F7, + D_9_36 = 256'h104C002620128211040B6D00FC00008282D01004000401E14044010354002612, + D_9_37 = 256'hAA667F6650F208840020901A491978208001B61A968614306011037144398648, + D_9_38 = 256'h50698204C00C00C0D80D400147266221023700202000133F1FB7990FFFEB679F, + D_9_39 = 256'h0CB3C1137A724A338A318A318861986199698B219A218A338B725844002009A6, + D_9_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_9_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_A_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_2B = 256'hD6267A5627721725726C00056446012328F404524450C92AD4DDB6AA452C002A, + D_A_2C = 256'hCF90A2B04000000000000000000000000000000000000000000012A001007D02, + D_A_2D = 256'h183830C0010A9C25696C2C7C9AA280E123950ACD83F21D29C6E31DF48231C040, + D_A_2E = 256'h006130DE3529CDADC020910481FFC82100BCCC19B06C200D4831104C7CE224B1, + D_A_2F = 256'hA4FD2B90AA74B248BBFA5639B5FD2B1C715E3681B012C0236574000000C17C00, + D_A_30 = 256'hFFF1FF9FF9FFAED9FF9DF9FF0FFF0000FFFF1333331BBBFEEEEEEEEEEEEF0000, + D_A_31 = 256'hFFF1FF9FFF9FFFFFD9FFF9DD1FFF0000FF73375777BBBBBFFFFEEEEECDFF0000, + D_A_32 = 256'hFB3777777FFFFFFFFB3EA53CFEEF0000C00CC89FFFFFFBDC27FCF4C0C0FF0000, + D_A_33 = 256'hFFFF933BBBBB119FFFF89571FEFF0000FF5D19D9DDCCBE6EEE773647FDDF0000, + D_A_34 = 256'h24182BFE44A1120FFF7C4555BFCAAADEFF880189BFFEA1AAA005A945DFFFEB52, + D_A_35 = 256'h005DB33FB30003004002025555555555AAAAAAAAAAAAAAAA402BFB0056FC9942, + D_A_36 = 256'h7FBE0168F697803502F924D6DC24002290048F517D54D522E1502A00B488914B, + D_A_37 = 256'hBB667F66000600810400A402892B8242092F9280BE9C0501223B40E98898DBAB, + D_A_38 = 256'h5AC4CF4AC4EC42C4934852214ED46688516426C0220080000000000000000000, + D_A_39 = 256'h19F2145608000000000000000000000000000000000000000000025400200FA0, + D_A_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_A_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_B_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_2B = 256'h80200DED9CC9EC9AC997C00112280208520218067E0131112122495C6A3D496C, + D_B_2C = 256'h81D122A0D392519C518C518C430CC30CCB4C590CD10C519C5B92C22001005D02, + D_B_2D = 256'hC010600CA112C08971528C4A10E1C20060A112E808435D4121C8011680418342, + D_B_2E = 256'h01AF30F20163EC04B402CCCC49B82C018414C40B903048604855102E48222485, + D_B_2F = 256'hAA1294A9548A4491448BA5A44A45D2D2182B71371A49A394B1AC000000831800, + D_B_30 = 256'hF00E00600600410600600600F00FFFFFF000ECCCCCE4440111111111111FFFFF, + D_B_31 = 256'hF00E00600060000006000602E00FFFFFFF8CC8A88844444000011111120FFFFF, + D_B_32 = 256'hF48088888000000004C152C3000FFFFFC77337622EEEEACFC8030B3F3F0FFFFF, + D_B_33 = 256'hF0006CC44444CC6000072A8E010FFFFFF0A2E226223341911188C8A8820FFFFF, + D_B_34 = 256'h05083AF6EBD902800083BBAA40375577BD2152884001D6DC8551AAB8A96504A5, + D_B_35 = 256'h00000000000000040091025555555555AAAAAAAAAAAAAAAA40106B0021EDC7B2, + D_B_36 = 256'h0FF6AA95D5107542C106DB2921D8002035C2A0A882A92BC1391413F3858E91ED, + D_B_37 = 256'h000000000000080122016D2EE4005D1146106D7C8022246265406E090480F088, + D_B_38 = 256'h500401BDB39B3DB32401AC005F281963369A40002240C0000000000000000000, + D_B_39 = 256'h503A24541A724A338A318A318861986199698B219A218A338B72584400200BA0, + D_B_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_B_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_C_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_2B = 256'h55883051851851851842840C301102A504001C29281008040920004110225506, + D_C_2C = 256'h240A800F00000000000000000000000000000000000000000000000000002034, + D_C_2D = 256'h280780030CA8086405009405422A03231340A810D5B94004540400E211263C03, + D_C_2E = 256'h00C208043C400078509401083443C0301C8808002C4C14460C800CB304841000, + D_C_2F = 256'hA5552AD7DDBBDD48AAAE6C6B155736350AA090E5304C501D14D80000003C4400, + D_C_30 = 256'hFEF1DB1771551551371771BF0FFFFFFFFFFFDFFFDDDFFFFEFEFEFFEFEFEFFFFF, + D_C_31 = 256'hFFF17F9FFF99999999FFF9B91FFFFFFFFF73315573FFFFFBFFF3333333EFFFFF, + D_C_32 = 256'hFB3333333BBBBBBBBB3FFDE5DEFFFFFFC09FD9DFD1115732BF70B3B3F3FFFFFF, + D_C_33 = 256'hFFFF17FFFBBFF71FFFFBFFFBFFFFFFFFF75737737FECAFF3BBF7BE57DDFFFFFF, + D_C_34 = 256'h843054215085421FD7FC4100BFC2005E18080189BFFE81AAA005A902DF77910A, + D_C_35 = 256'h0000000000786804002C125555555555AAAAAAAAAAAAAAAA407EC400FC42B10A, + D_C_36 = 256'h80015545F0400D49B00492004C00100D40210000000200004080108301000440, + D_C_37 = 256'h00000000F0D0080058216DB7A5001D11C0804924014020000884D0238A1800A0, + D_C_38 = 256'h8AB1060A30A30A3080204908CF091240602006E048C180000000000000000000, + D_C_39 = 256'h64815001E0000000000000000000000000000000000000000000000000000406, + D_C_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_C_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_D_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_2B = 256'h00008514D14D14D14D0098139CA68518D20003D3092E07791620249A4AEA21C1, + D_D_2C = 256'h100055403FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE55FFEFF82C1, + D_D_2D = 256'hFFE01FFFFF05FFFFFE5A500D1E8A815C8C090502200022820040A208088880A7, + D_D_2E = 256'hFF10FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + D_D_2F = 256'hAF135CFF238B2B01C48F9FE64E47CFF39D81DD2518C77C459503FFFFFF0083FF, + D_D_30 = 256'hF00E00E88EAAEAAE88E88E00F00FFFFFF00020000020000100000000000FFFFF, + D_D_31 = 256'hF00E00600066666626000642E00FFFFFFF88CEEA8C000004000CCCCCCC0FFFFF, + D_D_32 = 256'hF4CCCCCCC440444444C0021A200FFFFFD89DD9DFEEEEA8CE608F4C4C0C0FFFFF, + D_D_33 = 256'hF000E800004008E000040004000FFFFFF8A8C88C8013400C44084028A20FFFFF, + D_D_34 = 256'hFAE7D501FF5FFD700880ABAA001755561856AC76000176555AAAA80300887008, + D_D_35 = 256'h0040114022506883F8D5805555555555AAAAAAAAAAAAAAAA5FD504FFAB03EEBF, + D_D_36 = 256'hC92555AA0599F0329DB924AD901BCF72BCFAF0AE82AEAB588C1D496CAEC2F8C4, + D_D_37 = 256'h80228044A0D107FBAB5680901036A0841EDA924ACCF2C6EDF9235D1C7867A08D, + D_D_38 = 256'h200010A29A29A29A32B5A5CF0882E4979CA9300017DAB0000000000000000000, + D_D_39 = 256'hE2000AA807FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCABFFDFF058, + D_D_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_D_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_E_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_2B = 256'hFFFF789D09D09D09D097561D629BE8E509927C7F72713859E07D920FA00F5916, + D_E_2C = 256'hEFFFAABFC00000000000000000000000000000000000000000001AA001007D36, + D_E_2D = 256'hFC1FE0CFFCFADCED7D938A8FE36FAFE17BF6FAFDDFFFDD7DFFBF5DF7F7777F58, + D_E_2E = 256'h00EF3C7F3C7B6DFDDCBFF9ECFD7FFC719CBCECDBBC5F7C6F4CFDBCFF7CEE7CBF, + D_E_2F = 256'hA110C0C77F8BFFFC008E7E6200473F3172480065A6C1270410FC000000FF7C00, + D_E_30 = 256'hFFF1FF1FFBFFBFFBFF9F71BF1FFF0000FFFF79DD597FFFFFFF777777FFFF0000, + D_E_31 = 256'hFFF1FF1577377777737771BF1FFF0000FF53115517FBFBFFBFF5FF7F37FF0000, + D_E_32 = 256'hFB11113339BBBBBBBBB3BDB3FFFF0000DB9FDDDFF737762B5FF9F5D7D5FF0000, + D_E_33 = 256'hFFFF5FFFDD9BF75FFBB13333FDFF0000FF5795D9DDFDBF3FFF371577FDFF0000, + D_E_34 = 256'hFFE7D52954A7FFF008835555502AAAA95AFFFFFF5552A1ABFFFFABFFFFFFFFFF, + D_E_35 = 256'h0040334033000485DD80B95555555555AAAAAAAAAAAAAAAA5FD554FFAA52B94F, + D_E_36 = 256'h8DB7FE00D8107D93813492094DD9C627F401800E00070198FEF14C9F84B69042, + D_E_37 = 256'h8066806600090BB101216DB4A4001951D612480683C024CEA1C4C41B0F10D008, + D_E_38 = 256'hDFFFEF13A13A13A17DA26D098081BB16942850001E1B80000000000000000000, + D_E_39 = 256'h1DFFF557F8000000000000000000000000000000000000000000035400200FA6, + D_E_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_E_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + + D_F_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_2B = 256'h00000718F18F18F18F008E081C7F81CE700001FD0806022E3F80007F3FC31978, + D_F_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_2D = 256'h000000000000000001EC30FFFF8B000C00000000000000000000000000000000, + D_F_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_2F = 256'hAEEFFF3880740001FF71819DFFB8C0CE1B8BD7B7080898D43000000000000000, + D_F_30 = 256'hF11F11F11511511511711F11F11FFFFFF11197333791111111999999111FFFFF, + D_F_31 = 256'hF11F11F999D999999D999F11F11FFFFFFFB5FBF3F9151511511B1191191FFFFF, + D_F_32 = 256'hF5FFF7DDD7555555555D531D111FFFFFFC6AAAA8B3367628E1175F7D3B1FFFFF, + D_F_33 = 256'hF111B111333511B1155FDDDD131FFFFFF1B17B37331351D111D9FB99931FFFFF, + D_F_34 = 256'h5ADFAAD6AB5AAD6FF77CAAAAAFD55556A556AC76AAAD56555AAAA80000000000, + D_F_35 = 256'h00000000000000044027025555555555AAAAAAAA2AAAAAAA5FAAABFF55AD46B5, + D_F_36 = 256'hE001FF550FC7883CFEC000F60020014FC03F3FF1FFFFFF8003C00670A11825CF, + D_F_37 = 256'h000000000000088A4E5680900032A00409ED01F03FDCC10105FFEBC07FF72003, + D_F_38 = 256'h000000E31E31E31E124D92430007E4E803E928000841F0000000000000000000, + D_F_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000, + D_F_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + + RAM16Kx1#(D_0_00,D_0_01,D_0_02,D_0_03,D_0_04,D_0_05,D_0_06,D_0_07,D_0_08,D_0_09,D_0_0A,D_0_0B,D_0_0C,D_0_0D,D_0_0E,D_0_0F,D_0_10,D_0_11,D_0_12,D_0_13,D_0_14,D_0_15,D_0_16,D_0_17,D_0_18,D_0_19,D_0_1A,D_0_1B,D_0_1C,D_0_1D,D_0_1E,D_0_1F,D_0_20,D_0_21,D_0_22,D_0_23,D_0_24,D_0_25,D_0_26,D_0_27,D_0_28,D_0_29,D_0_2A,D_0_2B,D_0_2C,D_0_2D,D_0_2E,D_0_2F,D_0_30,D_0_31,D_0_32,D_0_33,D_0_34,D_0_35,D_0_36,D_0_37,D_0_38,D_0_39,D_0_3A,D_0_3B,D_0_3C,D_0_3D,D_0_3E,D_0_3F) + ram0(CLK1, AB1x, CSM[0], READ, DO1[0], DI1[0], CLK2, AB2, CS2, DO2[0]); + RAM16Kx1#(D_1_00,D_1_01,D_1_02,D_1_03,D_1_04,D_1_05,D_1_06,D_1_07,D_1_08,D_1_09,D_1_0A,D_1_0B,D_1_0C,D_1_0D,D_1_0E,D_1_0F,D_1_10,D_1_11,D_1_12,D_1_13,D_1_14,D_1_15,D_1_16,D_1_17,D_1_18,D_1_19,D_1_1A,D_1_1B,D_1_1C,D_1_1D,D_1_1E,D_1_1F,D_1_20,D_1_21,D_1_22,D_1_23,D_1_24,D_1_25,D_1_26,D_1_27,D_1_28,D_1_29,D_1_2A,D_1_2B,D_1_2C,D_1_2D,D_1_2E,D_1_2F,D_1_30,D_1_31,D_1_32,D_1_33,D_1_34,D_1_35,D_1_36,D_1_37,D_1_38,D_1_39,D_1_3A,D_1_3B,D_1_3C,D_1_3D,D_1_3E,D_1_3F) + ram1(CLK1, AB1x, CSM[0], READ, DO1[1], DI1[1], CLK2, AB2, CS2, DO2[1]); + RAM16Kx1#(D_2_00,D_2_01,D_2_02,D_2_03,D_2_04,D_2_05,D_2_06,D_2_07,D_2_08,D_2_09,D_2_0A,D_2_0B,D_2_0C,D_2_0D,D_2_0E,D_2_0F,D_2_10,D_2_11,D_2_12,D_2_13,D_2_14,D_2_15,D_2_16,D_2_17,D_2_18,D_2_19,D_2_1A,D_2_1B,D_2_1C,D_2_1D,D_2_1E,D_2_1F,D_2_20,D_2_21,D_2_22,D_2_23,D_2_24,D_2_25,D_2_26,D_2_27,D_2_28,D_2_29,D_2_2A,D_2_2B,D_2_2C,D_2_2D,D_2_2E,D_2_2F,D_2_30,D_2_31,D_2_32,D_2_33,D_2_34,D_2_35,D_2_36,D_2_37,D_2_38,D_2_39,D_2_3A,D_2_3B,D_2_3C,D_2_3D,D_2_3E,D_2_3F) + ram2(CLK1, AB1x, CSM[0], READ, DO1[2], DI1[2], CLK2, AB2, CS2, DO2[2]); + RAM16Kx1#(D_3_00,D_3_01,D_3_02,D_3_03,D_3_04,D_3_05,D_3_06,D_3_07,D_3_08,D_3_09,D_3_0A,D_3_0B,D_3_0C,D_3_0D,D_3_0E,D_3_0F,D_3_10,D_3_11,D_3_12,D_3_13,D_3_14,D_3_15,D_3_16,D_3_17,D_3_18,D_3_19,D_3_1A,D_3_1B,D_3_1C,D_3_1D,D_3_1E,D_3_1F,D_3_20,D_3_21,D_3_22,D_3_23,D_3_24,D_3_25,D_3_26,D_3_27,D_3_28,D_3_29,D_3_2A,D_3_2B,D_3_2C,D_3_2D,D_3_2E,D_3_2F,D_3_30,D_3_31,D_3_32,D_3_33,D_3_34,D_3_35,D_3_36,D_3_37,D_3_38,D_3_39,D_3_3A,D_3_3B,D_3_3C,D_3_3D,D_3_3E,D_3_3F) + ram3(CLK1, AB1x, CSM[0], READ, DO1[3], DI1[3], CLK2, AB2, CS2, DO2[3]); + RAM16Kx1#(D_4_00,D_4_01,D_4_02,D_4_03,D_4_04,D_4_05,D_4_06,D_4_07,D_4_08,D_4_09,D_4_0A,D_4_0B,D_4_0C,D_4_0D,D_4_0E,D_4_0F,D_4_10,D_4_11,D_4_12,D_4_13,D_4_14,D_4_15,D_4_16,D_4_17,D_4_18,D_4_19,D_4_1A,D_4_1B,D_4_1C,D_4_1D,D_4_1E,D_4_1F,D_4_20,D_4_21,D_4_22,D_4_23,D_4_24,D_4_25,D_4_26,D_4_27,D_4_28,D_4_29,D_4_2A,D_4_2B,D_4_2C,D_4_2D,D_4_2E,D_4_2F,D_4_30,D_4_31,D_4_32,D_4_33,D_4_34,D_4_35,D_4_36,D_4_37,D_4_38,D_4_39,D_4_3A,D_4_3B,D_4_3C,D_4_3D,D_4_3E,D_4_3F) + ram4(CLK1, AB1x, CSM[0], READ, DO1[4], DI1[4], CLK2, AB2, CS2, DO2[4]); + RAM16Kx1#(D_5_00,D_5_01,D_5_02,D_5_03,D_5_04,D_5_05,D_5_06,D_5_07,D_5_08,D_5_09,D_5_0A,D_5_0B,D_5_0C,D_5_0D,D_5_0E,D_5_0F,D_5_10,D_5_11,D_5_12,D_5_13,D_5_14,D_5_15,D_5_16,D_5_17,D_5_18,D_5_19,D_5_1A,D_5_1B,D_5_1C,D_5_1D,D_5_1E,D_5_1F,D_5_20,D_5_21,D_5_22,D_5_23,D_5_24,D_5_25,D_5_26,D_5_27,D_5_28,D_5_29,D_5_2A,D_5_2B,D_5_2C,D_5_2D,D_5_2E,D_5_2F,D_5_30,D_5_31,D_5_32,D_5_33,D_5_34,D_5_35,D_5_36,D_5_37,D_5_38,D_5_39,D_5_3A,D_5_3B,D_5_3C,D_5_3D,D_5_3E,D_5_3F) + ram5(CLK1, AB1x, CSM[0], READ, DO1[5], DI1[5], CLK2, AB2, CS2, DO2[5]); + RAM16Kx1#(D_6_00,D_6_01,D_6_02,D_6_03,D_6_04,D_6_05,D_6_06,D_6_07,D_6_08,D_6_09,D_6_0A,D_6_0B,D_6_0C,D_6_0D,D_6_0E,D_6_0F,D_6_10,D_6_11,D_6_12,D_6_13,D_6_14,D_6_15,D_6_16,D_6_17,D_6_18,D_6_19,D_6_1A,D_6_1B,D_6_1C,D_6_1D,D_6_1E,D_6_1F,D_6_20,D_6_21,D_6_22,D_6_23,D_6_24,D_6_25,D_6_26,D_6_27,D_6_28,D_6_29,D_6_2A,D_6_2B,D_6_2C,D_6_2D,D_6_2E,D_6_2F,D_6_30,D_6_31,D_6_32,D_6_33,D_6_34,D_6_35,D_6_36,D_6_37,D_6_38,D_6_39,D_6_3A,D_6_3B,D_6_3C,D_6_3D,D_6_3E,D_6_3F) + ram6(CLK1, AB1x, CSM[0], READ, DO1[6], DI1[6], CLK2, AB2, CS2, DO2[6]); + RAM16Kx1#(D_7_00,D_7_01,D_7_02,D_7_03,D_7_04,D_7_05,D_7_06,D_7_07,D_7_08,D_7_09,D_7_0A,D_7_0B,D_7_0C,D_7_0D,D_7_0E,D_7_0F,D_7_10,D_7_11,D_7_12,D_7_13,D_7_14,D_7_15,D_7_16,D_7_17,D_7_18,D_7_19,D_7_1A,D_7_1B,D_7_1C,D_7_1D,D_7_1E,D_7_1F,D_7_20,D_7_21,D_7_22,D_7_23,D_7_24,D_7_25,D_7_26,D_7_27,D_7_28,D_7_29,D_7_2A,D_7_2B,D_7_2C,D_7_2D,D_7_2E,D_7_2F,D_7_30,D_7_31,D_7_32,D_7_33,D_7_34,D_7_35,D_7_36,D_7_37,D_7_38,D_7_39,D_7_3A,D_7_3B,D_7_3C,D_7_3D,D_7_3E,D_7_3F) + ram7(CLK1, AB1x, CSM[0], READ, DO1[7], DI1[7], CLK2, AB2, CS2, DO2[7]); + RAM16Kx1#(D_8_00,D_8_01,D_8_02,D_8_03,D_8_04,D_8_05,D_8_06,D_8_07,D_8_08,D_8_09,D_8_0A,D_8_0B,D_8_0C,D_8_0D,D_8_0E,D_8_0F,D_8_10,D_8_11,D_8_12,D_8_13,D_8_14,D_8_15,D_8_16,D_8_17,D_8_18,D_8_19,D_8_1A,D_8_1B,D_8_1C,D_8_1D,D_8_1E,D_8_1F,D_8_20,D_8_21,D_8_22,D_8_23,D_8_24,D_8_25,D_8_26,D_8_27,D_8_28,D_8_29,D_8_2A,D_8_2B,D_8_2C,D_8_2D,D_8_2E,D_8_2F,D_8_30,D_8_31,D_8_32,D_8_33,D_8_34,D_8_35,D_8_36,D_8_37,D_8_38,D_8_39,D_8_3A,D_8_3B,D_8_3C,D_8_3D,D_8_3E,D_8_3F) + ram8(CLK1, AB1x, CSM[1], READ, DO1[0], DI1[0], CLK2, AB2, CS2, DO2[8]); + RAM16Kx1#(D_9_00,D_9_01,D_9_02,D_9_03,D_9_04,D_9_05,D_9_06,D_9_07,D_9_08,D_9_09,D_9_0A,D_9_0B,D_9_0C,D_9_0D,D_9_0E,D_9_0F,D_9_10,D_9_11,D_9_12,D_9_13,D_9_14,D_9_15,D_9_16,D_9_17,D_9_18,D_9_19,D_9_1A,D_9_1B,D_9_1C,D_9_1D,D_9_1E,D_9_1F,D_9_20,D_9_21,D_9_22,D_9_23,D_9_24,D_9_25,D_9_26,D_9_27,D_9_28,D_9_29,D_9_2A,D_9_2B,D_9_2C,D_9_2D,D_9_2E,D_9_2F,D_9_30,D_9_31,D_9_32,D_9_33,D_9_34,D_9_35,D_9_36,D_9_37,D_9_38,D_9_39,D_9_3A,D_9_3B,D_9_3C,D_9_3D,D_9_3E,D_9_3F) + ram9(CLK1, AB1x, CSM[1], READ, DO1[1], DI1[1], CLK2, AB2, CS2, DO2[9]); + RAM16Kx1#(D_A_00,D_A_01,D_A_02,D_A_03,D_A_04,D_A_05,D_A_06,D_A_07,D_A_08,D_A_09,D_A_0A,D_A_0B,D_A_0C,D_A_0D,D_A_0E,D_A_0F,D_A_10,D_A_11,D_A_12,D_A_13,D_A_14,D_A_15,D_A_16,D_A_17,D_A_18,D_A_19,D_A_1A,D_A_1B,D_A_1C,D_A_1D,D_A_1E,D_A_1F,D_A_20,D_A_21,D_A_22,D_A_23,D_A_24,D_A_25,D_A_26,D_A_27,D_A_28,D_A_29,D_A_2A,D_A_2B,D_A_2C,D_A_2D,D_A_2E,D_A_2F,D_A_30,D_A_31,D_A_32,D_A_33,D_A_34,D_A_35,D_A_36,D_A_37,D_A_38,D_A_39,D_A_3A,D_A_3B,D_A_3C,D_A_3D,D_A_3E,D_A_3F) + ramA(CLK1, AB1x, CSM[1], READ, DO1[2], DI1[2], CLK2, AB2, CS2, DO2[10]); + RAM16Kx1#(D_B_00,D_B_01,D_B_02,D_B_03,D_B_04,D_B_05,D_B_06,D_B_07,D_B_08,D_B_09,D_B_0A,D_B_0B,D_B_0C,D_B_0D,D_B_0E,D_B_0F,D_B_10,D_B_11,D_B_12,D_B_13,D_B_14,D_B_15,D_B_16,D_B_17,D_B_18,D_B_19,D_B_1A,D_B_1B,D_B_1C,D_B_1D,D_B_1E,D_B_1F,D_B_20,D_B_21,D_B_22,D_B_23,D_B_24,D_B_25,D_B_26,D_B_27,D_B_28,D_B_29,D_B_2A,D_B_2B,D_B_2C,D_B_2D,D_B_2E,D_B_2F,D_B_30,D_B_31,D_B_32,D_B_33,D_B_34,D_B_35,D_B_36,D_B_37,D_B_38,D_B_39,D_B_3A,D_B_3B,D_B_3C,D_B_3D,D_B_3E,D_B_3F) + ramB(CLK1, AB1x, CSM[1], READ, DO1[3], DI1[3], CLK2, AB2, CS2, DO2[11]); + RAM16Kx1#(D_C_00,D_C_01,D_C_02,D_C_03,D_C_04,D_C_05,D_C_06,D_C_07,D_C_08,D_C_09,D_C_0A,D_C_0B,D_C_0C,D_C_0D,D_C_0E,D_C_0F,D_C_10,D_C_11,D_C_12,D_C_13,D_C_14,D_C_15,D_C_16,D_C_17,D_C_18,D_C_19,D_C_1A,D_C_1B,D_C_1C,D_C_1D,D_C_1E,D_C_1F,D_C_20,D_C_21,D_C_22,D_C_23,D_C_24,D_C_25,D_C_26,D_C_27,D_C_28,D_C_29,D_C_2A,D_C_2B,D_C_2C,D_C_2D,D_C_2E,D_C_2F,D_C_30,D_C_31,D_C_32,D_C_33,D_C_34,D_C_35,D_C_36,D_C_37,D_C_38,D_C_39,D_C_3A,D_C_3B,D_C_3C,D_C_3D,D_C_3E,D_C_3F) + ramC(CLK1, AB1x, CSM[1], READ, DO1[4], DI1[4], CLK2, AB2, CS2, DO2[12]); + RAM16Kx1#(D_D_00,D_D_01,D_D_02,D_D_03,D_D_04,D_D_05,D_D_06,D_D_07,D_D_08,D_D_09,D_D_0A,D_D_0B,D_D_0C,D_D_0D,D_D_0E,D_D_0F,D_D_10,D_D_11,D_D_12,D_D_13,D_D_14,D_D_15,D_D_16,D_D_17,D_D_18,D_D_19,D_D_1A,D_D_1B,D_D_1C,D_D_1D,D_D_1E,D_D_1F,D_D_20,D_D_21,D_D_22,D_D_23,D_D_24,D_D_25,D_D_26,D_D_27,D_D_28,D_D_29,D_D_2A,D_D_2B,D_D_2C,D_D_2D,D_D_2E,D_D_2F,D_D_30,D_D_31,D_D_32,D_D_33,D_D_34,D_D_35,D_D_36,D_D_37,D_D_38,D_D_39,D_D_3A,D_D_3B,D_D_3C,D_D_3D,D_D_3E,D_D_3F) + ramD(CLK1, AB1x, CSM[1], READ, DO1[5], DI1[5], CLK2, AB2, CS2, DO2[13]); + RAM16Kx1#(D_E_00,D_E_01,D_E_02,D_E_03,D_E_04,D_E_05,D_E_06,D_E_07,D_E_08,D_E_09,D_E_0A,D_E_0B,D_E_0C,D_E_0D,D_E_0E,D_E_0F,D_E_10,D_E_11,D_E_12,D_E_13,D_E_14,D_E_15,D_E_16,D_E_17,D_E_18,D_E_19,D_E_1A,D_E_1B,D_E_1C,D_E_1D,D_E_1E,D_E_1F,D_E_20,D_E_21,D_E_22,D_E_23,D_E_24,D_E_25,D_E_26,D_E_27,D_E_28,D_E_29,D_E_2A,D_E_2B,D_E_2C,D_E_2D,D_E_2E,D_E_2F,D_E_30,D_E_31,D_E_32,D_E_33,D_E_34,D_E_35,D_E_36,D_E_37,D_E_38,D_E_39,D_E_3A,D_E_3B,D_E_3C,D_E_3D,D_E_3E,D_E_3F) + ramE(CLK1, AB1x, CSM[1], READ, DO1[6], DI1[6], CLK2, AB2, CS2, DO2[14]); + RAM16Kx1#(D_F_00,D_F_01,D_F_02,D_F_03,D_F_04,D_F_05,D_F_06,D_F_07,D_F_08,D_F_09,D_F_0A,D_F_0B,D_F_0C,D_F_0D,D_F_0E,D_F_0F,D_F_10,D_F_11,D_F_12,D_F_13,D_F_14,D_F_15,D_F_16,D_F_17,D_F_18,D_F_19,D_F_1A,D_F_1B,D_F_1C,D_F_1D,D_F_1E,D_F_1F,D_F_20,D_F_21,D_F_22,D_F_23,D_F_24,D_F_25,D_F_26,D_F_27,D_F_28,D_F_29,D_F_2A,D_F_2B,D_F_2C,D_F_2D,D_F_2E,D_F_2F,D_F_30,D_F_31,D_F_32,D_F_33,D_F_34,D_F_35,D_F_36,D_F_37,D_F_38,D_F_39,D_F_3A,D_F_3B,D_F_3C,D_F_3D,D_F_3E,D_F_3F) + ramF(CLK1, AB1x, CSM[1], READ, DO1[7], DI1[7], CLK2, AB2, CS2, DO2[15]); Index: trunk/digger/ag_keyb.v =================================================================== --- trunk/digger/ag_keyb.v (nonexistent) +++ trunk/digger/ag_keyb.v (revision 5) @@ -0,0 +1,279 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Oleg Odintsov +// +// Create Date: 00:26:47 02/26/2012 +// Design Name: +// Module Name: ag_keyb +// Project Name: Agat Hardware Project +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module signal_filter(input clk, input in, output reg out); + always @(posedge clk) begin + out <= in; + end +endmodule + + +module ps2_keyb_driver(ps2_clk, ps2_data, ps2_code, ps2_up, ps2_ext, ps2_event); + input wire ps2_clk, ps2_data; + output reg[7:0] ps2_code = 0; + output reg ps2_up = 0, ps2_ext = 0, ps2_event = 0; + + reg[10:0] shreg = 11'b11111111111; + wire[10:0] shnew = {ps2_data, shreg[10:1]}; + wire start = shnew[0], stop = shnew[10], parity = shnew[9]; + wire[7:0] data = shnew[8:1]; + + always @(negedge ps2_clk) begin + if (!start && stop && (parity == ~^data)) begin + if (data == 8'hE0) begin + ps2_ext <= 1; + end else if (data == 8'hF0) begin + ps2_up <= 1; + end else begin + ps2_code <= data; + ps2_event <= 1; + end + shreg <= 11'b11111111111; + end else begin + if (ps2_event) begin + ps2_up <= 0; + ps2_ext <= 0; + ps2_event <= 0; + end + shreg <= shnew; + end + end +endmodule + +module ag_reg_decoder(keyb_in, shift, ctrl, keyb_out); + input wire[6:0] keyb_in; + input wire shift, ctrl; + output wire[6:0] keyb_out; + + wire is_alpha = keyb_in[6] && !keyb_in[5]; + wire is_digit = !keyb_in[6] && keyb_in[5] && keyb_in[3:0]; + + assign keyb_out = + is_alpha? + (shift?{1'b1,1'b1,keyb_in[4:0]}: + ctrl?{1'b0,1'b0,keyb_in[4:0]}: + keyb_in): + is_digit? + (shift?{1'b0,1'b1,~keyb_in[4],keyb_in[3:0]}: + keyb_in): + keyb_in; +endmodule + +module ag_keyb_decoder(ps2_code, ps2_ext, shift, ctrl, alt, rus, keyb_code); + input wire[7:0] ps2_code; + input wire ps2_ext, shift, ctrl, alt, rus; + output wire[6:0] keyb_code; + reg[6:0] keyb_table[0:511]; // eng + rus + integer i; + + wire[6:0] keyb_in; + + assign keyb_in = keyb_table[{rus,ps2_code}]; + ag_reg_decoder rd(keyb_in, shift, ctrl, keyb_code); + + initial begin + for (i = 0; i < 512; i = i + 1) keyb_table[i] = 0; + + // eng table + keyb_table['h15] = 'h51; // Q + keyb_table['h1D] = 'h57; // W + keyb_table['h24] = 'h45; // E + keyb_table['h2D] = 'h52; // R + keyb_table['h2C] = 'h54; // T + keyb_table['h35] = 'h59; // Y + keyb_table['h3C] = 'h55; // U + keyb_table['h43] = 'h49; // I + keyb_table['h44] = 'h4F; // O + keyb_table['h4D] = 'h50; // P + keyb_table['h54] = 'h5B; // { + keyb_table['h5B] = 'h5D; // } + + keyb_table['h1C] = 'h41; // A + keyb_table['h1B] = 'h53; // S + keyb_table['h23] = 'h44; // D + keyb_table['h2B] = 'h46; // F + keyb_table['h34] = 'h47; // G + keyb_table['h33] = 'h48; // H + keyb_table['h3B] = 'h4A; // J + keyb_table['h42] = 'h4B; // K + keyb_table['h4B] = 'h4C; // L + keyb_table['h4C] = 'h2A; // : + keyb_table['h52] = 'h22; // " + keyb_table['h5D] = 'h5C; // \ + keyb_table['h5A] = 'h0D; // enter + + keyb_table['h1A] = 'h5A; // Z + keyb_table['h22] = 'h58; // X + keyb_table['h21] = 'h43; // C + keyb_table['h2A] = 'h56; // V + keyb_table['h32] = 'h42; // B + keyb_table['h31] = 'h4E; // N + keyb_table['h3A] = 'h4D; // M + keyb_table['h41] = 'h2C; // < + keyb_table['h49] = 'h2E; // > + keyb_table['h4A] = 'h2F; // ? + + keyb_table['h05] = 'h04; // F1 + keyb_table['h06] = 'h05; // F2 + keyb_table['h04] = 'h06; // F3 + + keyb_table['h75] = 'h99; // UP + keyb_table['h74] = 'h95; // RIGHT + keyb_table['h6B] = 'h88; // LEFT + keyb_table['h66] = 'h88; // BS + keyb_table['h72] = 'h9A; // DOWN + keyb_table['h76] = 'h9B; // ESC + keyb_table['h29] = 'h20; // SPACE + + keyb_table['h0E] = 'h00; // ` + keyb_table['h16] = 'h31; // 1 + keyb_table['h1E] = 'h32; // 2 + keyb_table['h26] = 'h33; // 3 + keyb_table['h25] = 'h34; // 4 + keyb_table['h2E] = 'h35; // 5 + keyb_table['h36] = 'h36; // 6 + keyb_table['h3D] = 'h37; // 7 + keyb_table['h3E] = 'h38; // 8 + keyb_table['h46] = 'h39; // 9 + keyb_table['h45] = 'h30; // 0 + keyb_table['h4E] = 'h2D; // - + keyb_table['h55] = 'h3B; // = + + // rus table + 100h + keyb_table['h115] = 'h4A; // Q + keyb_table['h11D] = 'h43; // W + keyb_table['h124] = 'h55; // E + keyb_table['h12D] = 'h4B; // R + keyb_table['h12C] = 'h45; // T + keyb_table['h135] = 'h4E; // Y + keyb_table['h13C] = 'h47; // U + keyb_table['h143] = 'h5B; // I + keyb_table['h144] = 'h5D; // O + keyb_table['h14D] = 'h5A; // P + keyb_table['h154] = 'h48; // { + keyb_table['h15B] = 'h3A; // }, check + + keyb_table['h11C] = 'h46; // A + keyb_table['h11B] = 'h59; // S + keyb_table['h123] = 'h57; // D + keyb_table['h12B] = 'h41; // F + keyb_table['h134] = 'h50; // G + keyb_table['h133] = 'h52; // H + keyb_table['h13B] = 'h4F; // J + keyb_table['h142] = 'h4C; // K + keyb_table['h14B] = 'h44; // L + keyb_table['h14C] = 'h56; // : + keyb_table['h152] = 'h5C; // " + keyb_table['h15D] = 'h2B; // | -> . + keyb_table['h15A] = 'h0D; // enter + + keyb_table['h11A] = 'h51; // Z + keyb_table['h122] = 'h5E; // X + keyb_table['h121] = 'h53; // C + keyb_table['h12A] = 'h4D; // V + keyb_table['h132] = 'h49; // B + keyb_table['h131] = 'h54; // N + keyb_table['h13A] = 'h58; // M + keyb_table['h141] = 'h42; // < + keyb_table['h149] = 'h2C; // > + keyb_table['h14A] = 'h2F; // ? + + keyb_table['h105] = 'h04; // F1 + keyb_table['h106] = 'h05; // F2 + keyb_table['h104] = 'h06; // F3 + + keyb_table['h175] = 'h99; // UP + keyb_table['h174] = 'h95; // RIGHT + keyb_table['h16B] = 'h88; // LEFT + keyb_table['h166] = 'h88; // BS + keyb_table['h172] = 'h9A; // DOWN + keyb_table['h176] = 'h9B; // ESC + keyb_table['h129] = 'h20; // SPACE + + keyb_table['h10E] = 'h00; // ` + keyb_table['h116] = 'h31; // 1 + keyb_table['h11E] = 'h32; // 2 + keyb_table['h126] = 'h33; // 3 + keyb_table['h125] = 'h34; // 4 + keyb_table['h12E] = 'h35; // 5 + keyb_table['h136] = 'h36; // 6 + keyb_table['h13D] = 'h37; // 7 + keyb_table['h13E] = 'h38; // 8 + keyb_table['h146] = 'h39; // 9 + keyb_table['h145] = 'h30; // 0 + keyb_table['h14E] = 'h2D; // - + keyb_table['h155] = 'h3B; // = + end +endmodule + +module ag_keyb(clk, ps2_bus, keyb_reg, keyb_clear, keyb_rus, keyb_rst, keyb_pause); + input clk; + input wire[1:0] ps2_bus; + output wire[7:0] keyb_reg; + input wire keyb_clear; + output wire keyb_rus; + output wire keyb_rst; + output wire keyb_pause; + + + wire ps2_clk, ps2_data; + assign {ps2_clk, ps2_data} = ps2_bus; + + reg[7:0] keyb_code; + reg clr = 0, got = 0; + reg lshift = 0, rshift = 0, ctrl = 0, alt = 0, rus = 0, rst = 0, pause = 0; + wire[7:0] ps2_code; + wire ps2_up, ps2_ext, ps2_event; + + assign keyb_reg = clr?0:keyb_code; + assign keyb_rus = rus; + assign keyb_rst = rst; + assign keyb_pause = pause; + + wire[6:0] dec_code; + + ps2_keyb_driver kd(ps2_clk, ps2_data, ps2_code, ps2_up, ps2_ext, ps2_event); + ag_keyb_decoder dec(ps2_code, ps2_ext, lshift | rshift, ctrl, alt, rus, dec_code); + + always @(posedge clk) begin + if (keyb_clear) clr <= 1; + if (ps2_event && !got) begin + if (!ps2_up) begin + if (ps2_code == 8'h12 && ctrl) rus <= 0; + else if (ps2_code == 8'h14 && lshift) rus <= 0; + else if (ps2_code == 8'h59 && ctrl) rus <= 1; + else if (ps2_code == 8'h14 && rshift) rus <= 1; + clr <= 0; + keyb_code <= {|dec_code, dec_code}; + end + if (ps2_code == 8'h12) lshift <= ~ps2_up; + else if (ps2_code == 8'h59) rshift <= ~ps2_up; + else if (ps2_code == 8'h14 || ps2_code == 8'h0D) ctrl <= ~ps2_up; // ctrl or tab + else if (ps2_code == 8'h11) alt <= ~ps2_up; + else if (ps2_code == 8'h7E) pause <= ~ps2_up; + + if (ps2_code == 8'h76 && ctrl) rst <= ~ps2_up; + got <= 1; + end + if (!ps2_event) got <= 0; + end +endmodule Index: trunk/digger/ag_6502.v =================================================================== --- trunk/digger/ag_6502.v (nonexistent) +++ trunk/digger/ag_6502.v (revision 5) @@ -0,0 +1,347 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Oleg Odintsov +// +// Create Date: 10:50:36 02/15/2012 +// Design Name: +// Module Name: my6502 +// Project Name: Agat Hardware Project +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Revision 0.02 - Fixed NMI bug +// Revision 0.03 - Updated clocking constants to support higher frequencies +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +// Specify following define to allow external +// clocking for phi1 and phi2 +// In such case you may use ag6502_ext_clock module +// with baseclk frequency ~ 10 x phi_0 +`define AG6502_EXTERNAL_CLOCK + + +`ifndef AG6502_EXTERNAL_CLOCK +module ag6502_clock(input phi_0, output phi_1, output phi_2); + wire phi_01; + not#3(phi_1,phi_0); + or(phi_01,~phi_0, phi_1); + not#1(phi_2, phi_01); +endmodule + + +`else + +module ag6502_phase_shift(input baseclk, input phi_0, output reg phi_1); + parameter DELAY = 1; // delay in semi-waves of baseclk + initial phi_1 = 0; + integer cnt = 0; + + always @(posedge baseclk) begin + if (phi_0 != phi_1) begin + if (!cnt) begin phi_1 <= phi_0; cnt <= DELAY; end + else cnt <= cnt - 1; + end + end +endmodule + +// baseclk is used to simulate delays on a real hardware +module ag6502_ext_clock(input baseclk, input phi_0, output phi_1, output phi_2); + parameter DELAY1 = 2, DELAY2 = 0; // delays in semi-waves of baseclk + + wire phi_1_neg, phi_01; + + ag6502_phase_shift#DELAY1 d1(baseclk, phi_0, phi_1_neg); + assign phi_1 = ~phi_1_neg; + + and(phi_01, phi_0, phi_1_neg); + ag6502_phase_shift#DELAY2 d2(baseclk, phi_01, phi_2); +endmodule + +`endif + + +`define ALU_ORA 3'd0 +`define ALU_AND 3'd1 +`define ALU_EOR 3'd2 +`define ALU_ADC 3'd3 +`define ALU_ASL 3'd4 +`define ALU_LSR 3'd5 +`define ALU_ROL 3'd6 +`define ALU_ROR 3'd7 + + +module ag6502_decimal(ADD, D_IN, NEG, CORR); + input wire[4:0] ADD; + input wire D_IN, NEG; + output wire[4:0] CORR; + wire C9 = {ADD[4]^NEG, ADD[3:0]} > 5'd9; + + assign CORR = D_IN?{C9^NEG, C9?ADD[3:0] + (NEG?4'd10:4'd6): ADD[3:0]}: ADD; +endmodule + + +module ag6502_alu(A, B, OP, NEG, C_IN, D_IN, R, C_OUT, V_OUT); + input wire[7:0] A, B; + input wire[2:0] OP; + input wire C_IN, D_IN, NEG; + output wire[7:0] R; + output wire C_OUT, V_OUT; + + wire[4:0] ADD_L; + ag6502_decimal DL({1'b0, A[3:0]} + {1'b0, B[3:0]} + C_IN, D_IN, NEG, ADD_L); + wire CF_H = ADD_L[4]; + + wire[4:0] ADD_H; + ag6502_decimal DH({1'b0, A[7:4]} + {1'b0, B[7:4]} + CF_H, D_IN, NEG, ADD_H); + + assign + {C_OUT,R} = (OP==`ALU_ORA)? A | B: + (OP==`ALU_AND)? A & B: + (OP==`ALU_EOR)? A ^ B: + (OP==`ALU_ADC)? {ADD_H, ADD_L[3:0]}: + (OP==`ALU_ASL)? {A[7], A[6:0], 1'b0}: + (OP==`ALU_LSR)? {A[0], 1'b0, A[7:1]}: + (OP==`ALU_ROL)? {A[7], A[6:0], C_IN}: + (OP==`ALU_ROR)? {A[0], C_IN, A[7:1]}: + 8'bX; + assign V_OUT = (A[7] == B[7]) && (A[7] != R[7]); +endmodule + +/* + System AB/DB discipline: + 1. For CPU + Phi1 up => CPU set ab/db_out buses + Phi2 down => CPU reads data from db_in + 2. For Memory / other devices + Phi2 up => perform read/write operation +*/ + + +module ag6502(input phi_0, +`ifdef AG6502_EXTERNAL_CLOCK + input phi_1, input phi_2, +`else + output phi_1, output phi_2, +`endif + output reg[15:0] ab, + output wire read, + input[7:0] db_in, output reg[7:0] db_out, + input rdy, + input rst, input irq, input nmi, + input so, + output sync); + +`ifndef AG6502_EXTERNAL_CLOCK + ag6502_clock cgen(phi_0, phi_1, phi_2); +`endif + + reg rdyg = 1; + + reg[2:0] T = 7; + reg[7:0] IR ='h00; + + reg[15:0] PC = 0; + wire[7:0] PCH = PC[15:8], PCL = PC[7:0]; + reg[7:0] EAL, EAH; + wire[15:0] EA = {EAH, EAL}; + + reg FLAG_C, FLAG_Z, FLAG_I, FLAG_D, FLAG_B, FLAG_V, FLAG_N; + + reg[7:0] AC, X, Y, S = 0; + wire[7:0] P = {FLAG_N, FLAG_V, 1'b1, FLAG_B, FLAG_D, FLAG_I, FLAG_Z, FLAG_C}; + wire[7:0] SB; + + + wire[7:0] ALU_A, ALU_B; + wire[7:0] RES; + wire[2:0] ALU_OP; + reg[8:0] eALU; // with carry + wire[7:0] ALU = eALU; + wire ALU_CF = eALU[8]; + + wire CF_IN, DF_IN; + wire CF_OUT, VF_OUT; + + reg so_prev = 0; + reg nmi_prev = 0; + wire irq_active = ~irq & ~FLAG_I; + wire nmi_active = ~nmi & nmi_prev; + wire int_active = irq_active | nmi_active; + wire rst_active = ~rst; + wire so_active = so & ~so_prev; + + wire[7:0] IR_in = int_active?8'b0:db_in; + + wire[1:0] vec_bits= + nmi_active?2'b01: + rst_active?2'b10: + 2'b11; + + wire[15:0] vec_addr = {{13{1'b1}}, vec_bits, 1'b0}; + + wire[10:0] L = {T, IR}; + + `include "states.v" + + assign read = ~A_RW_W; + assign sync = !T; + + assign SB = A_SB_DB? db_in: + A_SB_AC? AC: + A_SB_X? X: + A_SB_Y? Y: + A_SB_S? S: + A_SB_P? P: + A_SB_ALU? ALU: + A_SB_0? 8'b0: + A_SB_PCH? PCH: + A_SB_PCL? PCL: + 8'bX; + + assign CF_IN = A_ALU_CF_0? 1'b0: + A_ALU_CF_1? 1'b1: + A_ALU_CF_ALUC? ALU_CF: + FLAG_C; + + assign DF_IN = A_ALU_DF_D? FLAG_D: 1'b0; + + assign ALU_A = + A_ALU_A_AC? AC: + A_ALU_A_X? X: + A_ALU_A_Y? Y: + A_ALU_A_DB? db_in: + A_ALU_A_EAL? EAL: + A_ALU_A_ALU? ALU: + A_ALU_A_S? S: + A_ALU_A_SIGN? (EAL[7]?8'b11111111:8'b00000001): + 8'bX; + + assign ALU_B = A_ALU_B_SB? SB: + A_ALU_B_NOTSB? ~SB: + 8'bX; + + assign ALU_OP = A_ALU_OP_ADC? `ALU_ADC: + A_ALU_OP_ORA? `ALU_ORA: + A_ALU_OP_EOR? `ALU_EOR: + A_ALU_OP_AND? `ALU_AND: + A_ALU_OP_ASL? `ALU_ASL: + A_ALU_OP_LSR? `ALU_LSR: + A_ALU_OP_ROL? `ALU_ROL: + A_ALU_OP_ROR? `ALU_ROR: + 8'bX; + + ag6502_alu alu(ALU_A, ALU_B, ALU_OP, A_ALU_B_NOTSB, CF_IN, DF_IN, RES, CF_OUT, VF_OUT); + + always @(posedge phi_1) begin + if (E_AB__PC) ab <= PC; + else if (E_AB__EA) ab <= EA; + else if (E_AB__S) ab <= {8'b1, S}; + + if (E_DB__SB) db_out <= SB; + else if (E_DB__PCH) db_out <= PCH; + else if (E_DB__PCL) db_out <= PCL; + else if (E_DB__P) db_out <= P; + else if (E_DB__ALU) db_out <= ALU; + + if (read) rdyg <= rdy; + end + + + wire cond; + + assign cond = + E_T__0IFNF__IR_5_?(FLAG_N != IR[5]): + E_T__0IFVF__IR_5_?(FLAG_V != IR[5]): + E_T__0IFCF__IR_5_?(FLAG_C != IR[5]): + E_T__0IFZF__IR_5_?(FLAG_Z != IR[5]): + E_T__0IFZF__IR_5_?(FLAG_Z != IR[5]): + E_T__0IF_C7F? CF_OUT == EAL[7]: + E_T__0; + + always @(negedge phi_2) if (rdyg) begin + if (E_PC__PC_1) begin + if (T || (!int_active && !rst_active)) PC <= PC + 1; + end else if (E_PC__EA) PC <= EA; + else begin + if (E_PCH__RES) PC[15:8] <= RES; + if (E_PCL__ALU) PC[7:0] <= ALU; + else if (E_PCL__RES) PC[7:0] <= RES; + else if (E_PCL__EAL) PC[7:0] <= EAL; + else if (E_PCL__DB) PC[7:0] <= db_in; + end + + if (!T) begin + IR <= IR_in; + if (!IR_in) begin // BRK instruction + {EAH, EAL} <= vec_addr; + end + nmi_prev <= nmi; + end + + if (E_N_Z__SB) begin FLAG_Z <= !SB; FLAG_N <= SB[7]; end + else if (E_N_Z__RES) begin FLAG_Z <= !RES; FLAG_N <= RES[7]; end + else if (E_N_Z__SB_RES) begin FLAG_Z <= !RES; FLAG_N <= SB[7]; end + + if (E_C__RES) FLAG_C <= CF_OUT; + if (E_V__RES) FLAG_V <= VF_OUT; + else if (E_V__SB_6_) FLAG_V <= SB[6]; + + if (E_EAL__DB) EAL <= db_in; + else if (E_EAL__ALU) EAL <= ALU; + + + if (E_EA__DB) {EAH, EAL} <= { 8'b0, db_in }; + else if (E_EAH__DB) EAH <= db_in; + else if (E_EAH__ALU) EAH <= ALU; + + if (E_AC__SB) AC <= SB; + else if (E_AC__RES) AC <= RES; + + if (E_S__ALU) S <= ALU; + + if (E_X__SB) X <= SB; + else if (E_X__RES) X <= RES; + + if (E_Y__SB) Y <= SB; + else if (E_Y__RES) Y <= RES; + + if (E_S__SB) S <= SB; + if (E_P__SB) {FLAG_N, FLAG_V, FLAG_B, FLAG_D, FLAG_I, FLAG_Z, FLAG_C} <= {SB[7], SB[6], SB[4], SB[3], SB[2], SB[1], SB[0]}; + else if (E_P__DB) {FLAG_N, FLAG_V, FLAG_B, FLAG_D, FLAG_I, FLAG_Z, FLAG_C} <= {db_in[7], db_in[6], db_in[4], db_in[3], db_in[2], db_in[1], db_in[0]}; + + if (E_CF__IR_5_) FLAG_C <= IR[5]; + if (E_IF__IR_5_) FLAG_I <= IR[5]; + if (E_DF__IR_5_) FLAG_D <= IR[5]; + if (E_VF__0) FLAG_V <= 0; + else if (so_active) FLAG_V <= 1; + so_prev <= so; + + eALU <= {CF_OUT, RES}; + + if (cond) begin + T <= 0; + if (!IR) begin + FLAG_B <= !int_active; + FLAG_I <= 1; + end + end else T <= T + ((E_T__T_1IF_ALUCZ && !ALU_CF)?2: 1); + + if (rst_active) begin + T <= 1; + IR <= 0; + {EAH, EAL} <= vec_addr; + end + end + + +endmodule + Index: trunk/digger/states.v =================================================================== --- trunk/digger/states.v (nonexistent) +++ trunk/digger/states.v (revision 5) @@ -0,0 +1,337 @@ +// This file has been generated automatically +// by the GenStates tool +// Copyright (c) Oleg Odintsov +// This tool is a part of Agat hardware project + +// Level of optimization: infinite +// Total number of actions: 82 + wire E_AB__PC; + wire E_AB__EA; + wire E_AB__S; + wire E_PC__PC_1; + wire E_T__0; + wire E_N_Z__SB; + wire E_N_Z__RES; + wire E_N_Z__SB_RES; + wire E_C__RES; + wire E_V__RES; + wire E_V__SB_6_; + wire A_ALU_CF_0; + wire A_ALU_DF_0; + wire A_ALU_OP_ADC; + wire A_SB_0; + wire A_ALU_B_SB; + wire A_ALU_CF_1; + wire A_ALU_CF_ALUC; + wire A_ALU_B_NOTSB; + wire A_ALU_OP_ORA; + wire A_ALU_A_DB; + wire A_SB_X; + wire A_ALU_A_EAL; + wire A_SB_PCL; + wire A_SB_Y; + wire A_ALU_A_ALU; + wire A_ALU_A_S; + wire E_CF__IR_5_; + wire E_IF__IR_5_; + wire E_DF__IR_5_; + wire E_VF__0; + wire E_T__0IFNF__IR_5_; + wire E_T__0IFVF__IR_5_; + wire E_T__0IFCF__IR_5_; + wire E_T__0IFZF__IR_5_; + wire E_EA__DB; + wire E_EAL__DB; + wire E_PCL__RES; + wire E_T__0IF_C7F; + wire A_ALU_A_SIGN; + wire A_SB_PCH; + wire E_PCH__RES; + wire E_EAH__DB; + wire E_EAL__ALU; + wire E_T__T_1IF_ALUCZ; + wire E_EAH__ALU; + wire E_PCL__ALU; + wire A_SB_DB; + wire E_AC__SB; + wire A_ALU_A_AC; + wire E_AC__RES; + wire A_ALU_OP_AND; + wire A_ALU_OP_EOR; + wire A_ALU_A_X; + wire A_ALU_A_Y; + wire A_ALU_DF_D; + wire A_ALU_CF_C; + wire A_ALU_OP_ASL; + wire A_RW_W; + wire A_SB_ALU; + wire E_DB__SB; + wire A_ALU_OP_LSR; + wire A_ALU_OP_ROL; + wire A_ALU_OP_ROR; + wire A_SB_AC; + wire E_X__SB; + wire E_Y__SB; + wire A_SB_S; + wire E_S__SB; + wire E_PC__EA; + wire E_S__ALU; + wire A_SB_P; + wire E_P__SB; + wire E_X__RES; + wire E_Y__RES; + wire E_DB__ALU; + wire E_DB__PCH; + wire E_PCL__EAL; + wire E_DB__PCL; + wire E_DB__P; + wire E_P__DB; + wire E_PCL__DB; + +// Actions assignments + +// action: AB <= PC: + assign E_AB__PC = (!L[10] && ((!L[0] && ((!L[1] && ((!L[2] && ((!L[9] && (!L[3] || (L[3] && ((!L[4] && (!L[7] || ({L[7],L[8]} == 2'b10))) || (L[4] && ((!L[5] && ((!L[6] && (!L[8] || ({L[7],L[8]} == 2'b01))) || L[6])) || L[5])))))) || ({L[3],L[4],L[9]} == 3'b011))) || (L[2] && (!L[9] || ({L[3],L[8],L[9]} == 3'b101))))) || (L[1] && ((!L[9] && ((!L[2] && ((!L[8] && (({L[3],L[4],L[7]} == 3'b001) || L[3])) || ({L[3],L[4],L[7],L[8]} == 4'b0011))) || L[2])) || ({L[2],L[3],L[8],L[9]} == 4'b1101))))) || (L[0] && ((!L[9] && (!L[1] || (L[1] && ((!L[2] && (!L[3] || (L[3] && ((!L[4] && ((!L[5] && ((!L[6] && (!L[8] || ({L[7],L[8]} == 2'b01))) || L[6])) || L[5])) || L[4])))) || L[2])))) || (({L[3],L[8],L[9]} == 3'b101) && (({L[2],L[4]} == 2'b01) || L[2])))))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[7],L[8],L[9],L[10]} == 10'b0000010101); + +// action: AB <= EA: + assign E_AB__EA = (({L[9],L[10]} == 2'b01) && ((!L[2] && (({L[0],L[1],L[3],L[4],L[5],L[6],L[7],L[8]} == 8'b00000001) || (L[0] && (!L[3] || ({L[3],L[4],L[8]} == 3'b110))))) || (({L[2],L[3],L[8]} == 3'b110) && (({L[0],L[1],L[4],L[5],L[6],L[7]} == 6'b000110) || L[4])))) || (L[9] && ((!L[10] && ((({L[0],L[2]} == 2'b01) && ((!L[3] && (({L[4],L[8]} == 2'b00) || L[4])) || ({L[3],L[8]} == 2'b11))) || (L[0] && ((!L[3] && ((!L[1] && (!L[2] || (L[2] && (({L[4],L[8]} == 2'b00) || L[4])))) || (L[1] && (!L[2] || (L[2] && (({L[4],L[8]} == 2'b00) || L[4])))))) || (({L[3],L[8]} == 2'b11) && (({L[2],L[4]} == 2'b01) || L[2])))))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[10]} == 10'b0000000001))); + +// action: AB <= S: + assign E_AB__S = (({L[0],L[1],L[2],L[3],L[4],L[7],L[9],L[10]} == 8'b00000001) && (!L[8] || ({L[5],L[6],L[8]} == 3'b011))) || (({L[0],L[1],L[2],L[4],L[7],L[9],L[10]} == 7'b0000010) && (!L[3] || (L[3] && (({L[5],L[8]} == 2'b00) || L[5])))); + +// action: PC <= PC + 1: + assign E_PC__PC_1 = (!L[10] && ((!L[9] && ((!L[0] && ((!L[1] && ((!L[2] && (!L[8] || (({L[3],L[8]} == 2'b01) && ((!L[4] && (({L[5],L[6],L[7]} == 3'b100) || L[7])) || L[4])))) || L[2])) || (L[1] && ((!L[2] && ((!L[8] && (({L[3],L[4],L[7]} == 3'b001) || L[3])) || ({L[3],L[4],L[7],L[8]} == 4'b0011))) || L[2])))) || (L[0] && (!L[1] || (L[1] && ((!L[2] && (!L[3] || (L[3] && ((!L[4] && ((!L[5] && ((!L[6] && (!L[8] || ({L[7],L[8]} == 2'b01))) || L[6])) || L[5])) || L[4])))) || L[2])))))) || (({L[3],L[8],L[9]} == 3'b101) && (({L[0],L[2],L[4]} == 3'b101) || (L[2] && ((!L[0] && ((!L[1] && ((!L[4] && (!L[5] || (L[5] && (({L[6],L[7]} == 2'b00) || L[7])))) || L[4])) || L[1])) || L[0])))))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000110101); + +// action: T <= 0: + assign E_T__0 = (!L[0] && ((!L[1] && ((!L[2] && ((!L[10] && ((({L[3],L[8],L[9]} == 3'b101) && (({L[4],L[5],L[7]} == 3'b000) || (L[4] && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))) || (L[8] && ((({L[7],L[9]} == 2'b01) && (({L[3],L[4],L[5]} == 3'b101) || ({L[3],L[4]} == 2'b01))) || (L[7] && ((!L[9] && (!L[4] || ({L[3],L[4],L[5],L[6]} == 4'b1100))) || ({L[3],L[4],L[9]} == 3'b011))))))) || (({L[3],L[4],L[7],L[10]} == 4'b0001) && (({L[5],L[6],L[8],L[9]} == 4'b0001) || (({L[8],L[9]} == 2'b10) && (({L[5],L[6]} == 2'b01) || L[5])))))) || (L[2] && ((({L[3],L[8],L[9],L[10]} == 4'b1001) && (({L[4],L[5],L[6],L[7]} == 4'b0110) || L[4])) || (({L[9],L[10]} == 2'b10) && ((!L[4] && (({L[3],L[8]} == 2'b00) || (({L[3],L[8]} == 2'b11) && (!L[5] || (L[5] && (({L[6],L[7]} == 2'b00) || L[7])))))) || ({L[3],L[4],L[8]} == 3'b011))))))) || (L[1] && ((({L[2],L[8],L[9],L[10]} == 4'b0100) && (({L[3],L[4],L[7]} == 3'b001) || L[3])) || (L[2] && ((({L[6],L[7],L[9],L[10]} == 4'b0110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))) || (L[10] && ((!L[9] && ((!L[3] && ((({L[4],L[8]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[4],L[8]} == 2'b11) && (({L[6],L[7]} == 2'b00) || L[6])))) || (L[3] && (({L[4],L[6],L[7],L[8]} == 4'b1010) || (({L[4],L[8]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (({L[3],L[4],L[8],L[9]} == 4'b1101) && (({L[6],L[7]} == 2'b00) || L[6])))))))))) || (L[0] && ((!L[9] && ((!L[2] && (({L[3],L[4],L[8],L[10]} == 4'b1101) || (L[8] && ((!L[4] && (({L[3],L[10]} == 2'b01) || ({L[3],L[10]} == 2'b10))) || ({L[3],L[4],L[10]} == 3'b011))))) || ({L[2],L[3],L[4],L[8],L[10]} == 5'b11101))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b101))))); + +// action: N,Z <= SB: + assign E_N_Z__SB = (({L[6],L[7]} == 2'b01) && ((({L[0],L[2],L[3],L[5],L[8],L[9],L[10]} == 7'b0010100) && (({L[1],L[4]} == 2'b01) || ({L[1],L[4]} == 2'b10))) || (L[5] && ((!L[9] && ((!L[2] && (({L[0],L[1],L[3],L[4],L[8],L[10]} == 6'b101101) || (L[8] && ((!L[10] && ((!L[4] && (({L[0],L[3]} == 2'b00) || L[3])) || ({L[0],L[1],L[3],L[4]} == 4'b0111))) || ({L[0],L[3],L[10]} == 3'b101))))) || ({L[2],L[3],L[4],L[8],L[10]} == 5'b11101))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b101))))))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010110110); + +// action: N,Z <= RES: + assign E_N_Z__RES = (!L[9] && ((({L[2],L[4],L[8],L[10]} == 4'b0010) && (({L[0],L[1],L[3],L[6],L[7]} == 5'b00011) || (L[3] && ((!L[0] && ((({L[1],L[7]} == 2'b01) && (({L[5],L[6]} == 2'b00) || L[6])) || (L[1] && (!L[7] || ({L[5],L[6],L[7]} == 3'b011))))) || (L[0] && (({L[1],L[6],L[7]} == 3'b000) || (L[6] && (({L[1],L[5]} == 2'b00) || (L[5] && (({L[1],L[7]} == 2'b00) || L[7])))))))))) || (L[10] && ((({L[0],L[1],L[2]} == 3'b011) && ((({L[3],L[4],L[8]} == 3'b010) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[3] && ((({L[4],L[8]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[4],L[8]} == 2'b11) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (({L[0],L[1]} == 2'b10) && ((({L[2],L[3],L[4],L[8]} == 4'b0001) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[4] && ((({L[2],L[3],L[8]} == 3'b001) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[3],L[8]} == 2'b10) && (({L[6],L[7]} == 2'b00) || L[6])))))))))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[1] && ((({L[0],L[4],L[6],L[7]} == 4'b0011) && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || (L[0] && ((!L[4] && ((({L[3],L[8]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[3],L[8]} == 2'b11) && (({L[6],L[7]} == 2'b00) || L[6])))) || (({L[3],L[4],L[8]} == 3'b011) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (({L[0],L[1],L[3],L[4],L[8]} == 5'b01001) && (({L[6],L[7]} == 2'b00) || L[6])))); + +// action: N,Z <= SB,RES: + assign E_N_Z__SB_RES = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00100100010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00110100110); + +// action: C <= RES: + assign E_C__RES = (({L[0],L[1],L[6],L[7]} == 4'b0100) && (({L[2],L[3],L[4],L[8],L[9],L[10]} == 6'b010100) || (L[2] && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))))) || (L[6] && ((!L[9] && ((({L[2],L[4],L[8],L[10]} == 4'b0010) && (({L[0],L[1],L[3],L[7]} == 4'b0001) || (L[3] && (({L[0],L[1],L[7]} == 3'b010) || (L[0] && (({L[1],L[5],L[7]} == 3'b001) || (L[5] && (({L[1],L[7]} == 2'b00) || L[7])))))))) || (L[10] && ((({L[0],L[1],L[2],L[7]} == 4'b0110) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || (({L[0],L[1]} == 2'b10) && ((({L[2],L[3],L[4],L[8]} == 4'b0001) && (({L[5],L[7]} == 2'b01) || L[5])) || (L[4] && ((({L[2],L[3],L[8]} == 3'b001) && (({L[5],L[7]} == 2'b01) || L[5])) || (({L[3],L[8]} == 2'b10) && (({L[5],L[7]} == 2'b01) || L[5])))))))))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[1] && ((!L[4] && ((({L[0],L[5],L[7]} == 3'b110) && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || (L[7] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))))) || (({L[0],L[3],L[4],L[8]} == 4'b1011) && (({L[5],L[7]} == 2'b01) || L[5])))) || ({L[0],L[1],L[3],L[4],L[7],L[8]} == 6'b010001))))); + +// action: V <= RES: + assign E_V__RES = (({L[0],L[5],L[6],L[9]} == 4'b1110) && ((!L[2] && (({L[1],L[3],L[4],L[8],L[10]} == 5'b01101) || (L[8] && ((!L[4] && (({L[1],L[3],L[10]} == 3'b001) || (({L[3],L[10]} == 2'b10) && (({L[1],L[7]} == 2'b00) || L[7])))) || ({L[1],L[3],L[4],L[10]} == 4'b0011))))) || ({L[1],L[2],L[3],L[4],L[8],L[10]} == 6'b011101))) || (({L[0],L[1],L[2],L[5],L[6],L[9],L[10]} == 7'b1011110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))); + +// action: V <= SB[6]: + assign E_V__SB_6_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00100100010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00110100110); + +// action: ALU_CF = 0: + assign A_ALU_CF_0 = (!L[10] && ((({L[2],L[3],L[8],L[9]} == 4'b0001) && (({L[0],L[1],L[4],L[6],L[7]} == 5'b00000) || (L[4] && (({L[0],L[1]} == 2'b00) || L[0])))) || (L[8] && ((!L[9] && ((!L[2] && ((({L[0],L[4],L[5]} == 3'b000) && (({L[1],L[3],L[6],L[7]} == 4'b0000) || (L[3] && (({L[1],L[6]} == 2'b00) || (L[6] && (({L[1],L[7]} == 2'b00) || ({L[1],L[7]} == 2'b11))))))) || (L[0] && (({L[3],L[4]} == 2'b00) || ({L[3],L[4]} == 2'b11))))) || ({L[2],L[4]} == 2'b11))) || (({L[0],L[3],L[9]} == 3'b001) && ((({L[1],L[2]} == 2'b00) && (({L[4],L[6],L[7]} == 3'b000) || L[4])) || ({L[1],L[2],L[4],L[5],L[6],L[7]} == 6'b110011))))))) || (({L[0],L[1],L[2],L[5],L[6],L[7],L[9],L[10]} == 8'b01101101) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))); + +// action: ALU_DF = 0: + assign A_ALU_DF_0 = (!L[9] && ((({L[8],L[10]} == 2'b01) && (({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7]} == 8'b00000000) || (L[6] && (({L[0],L[1],L[2],L[3],L[4],L[5],L[7]} == 7'b0000000) || (L[7] && (({L[0],L[1],L[2],L[3],L[4],L[5]} == 6'b100110) || (L[2] && (({L[0],L[1],L[3],L[4],L[5]} == 5'b10110) || (({L[0],L[1]} == 2'b01) && (({L[3],L[4]} == 2'b01) || ({L[3],L[4]} == 2'b10))))))))))) || (L[8] && ((!L[10] && ((!L[2] && ((({L[0],L[4]} == 2'b00) && ((({L[1],L[3]} == 2'b00) && (({L[5],L[6],L[7]} == 3'b000) || L[6])) || (L[3] && ((!L[5] && (({L[1],L[6]} == 2'b00) || (L[6] && (({L[1],L[7]} == 2'b00) || L[7])))) || (({L[1],L[5]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (L[0] && ((!L[1] && (!L[3] || (L[3] && (({L[4],L[5],L[6],L[7]} == 4'b0011) || L[4])))) || (L[1] && (({L[3],L[4]} == 2'b00) || L[4])))))) || ({L[2],L[4]} == 2'b11))) || (({L[6],L[7],L[10]} == 3'b111) && (({L[0],L[1],L[2],L[3],L[4],L[5]} == 6'b100000) || (L[4] && (({L[0],L[1],L[2],L[3],L[5]} == 5'b10000) || ({L[0],L[1],L[2],L[3]} == 4'b0111))))))))) || (({L[9],L[10]} == 2'b10) && ((!L[0] && ((({L[1],L[2],L[3]} == 3'b000) && ((({L[4],L[7]} == 2'b00) && (!L[5] || (L[5] && (!L[8] || ({L[6],L[8]} == 2'b01))))) || L[4])) || (L[2] && ((({L[3],L[4],L[6],L[7]} == 4'b0011) && (({L[1],L[8]} == 2'b00) || ({L[1],L[8]} == 2'b11))) || (L[3] && ((!L[8] && (({L[1],L[4],L[5],L[6],L[7]} == 5'b00110) || L[4])) || ({L[1],L[4],L[6],L[7],L[8]} == 5'b00111))))))) || (L[0] && ((!L[1] && ((!L[2] && (({L[3],L[4],L[8]} == 3'b000) || (L[4] && (!L[8] || ({L[3],L[8]} == 2'b01))))) || (L[2] && ((({L[3],L[5],L[6],L[7]} == 4'b0011) && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || (L[3] && (({L[4],L[5],L[6],L[7],L[8]} == 5'b00111) || ({L[4],L[8]} == 2'b10))))))) || (L[1] && (({L[2],L[3],L[4],L[8]} == 4'b0000) || (L[4] && ((!L[8] && (({L[2],L[3]} == 2'b00) || L[3])) || ({L[2],L[3],L[8]} == 3'b001))))))))); + +// action: ALU_OP = ADC: + assign A_ALU_OP_ADC = (!L[9] && ((({L[8],L[10]} == 2'b01) && (({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7]} == 8'b00000000) || (L[6] && ((!L[1] && (({L[0],L[2],L[3],L[4],L[5],L[7]} == 6'b000000) || (({L[0],L[3],L[4]} == 3'b111) && (({L[5],L[7]} == 2'b01) || L[5])))) || (({L[0],L[1],L[2],L[7]} == 4'b0111) && (({L[3],L[4]} == 2'b01) || ({L[3],L[4]} == 2'b10))))))) || (L[8] && ((!L[2] && ((!L[10] && ((({L[0],L[4]} == 2'b00) && ((({L[1],L[3]} == 2'b00) && (({L[5],L[6],L[7]} == 3'b000) || L[6])) || (L[3] && ((!L[5] && (({L[1],L[6]} == 2'b00) || (L[6] && (({L[1],L[7]} == 2'b00) || L[7])))) || (({L[1],L[5]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (L[0] && ((!L[1] && (!L[3] || (L[3] && ((({L[4],L[6]} == 2'b01) && (({L[5],L[7]} == 2'b01) || L[5])) || L[4])))) || (L[1] && (!L[3] || (L[3] && (({L[4],L[5],L[6],L[7]} == 4'b0111) || L[4])))))))) || (({L[0],L[1],L[3],L[6],L[10]} == 5'b10011) && (({L[5],L[7]} == 2'b01) || L[5])))) || (({L[2],L[4]} == 2'b11) && (!L[10] || ({L[0],L[1],L[3],L[6],L[7],L[10]} == 6'b011111))))))) || (({L[9],L[10]} == 2'b10) && ((!L[0] && ((({L[1],L[2],L[3]} == 3'b000) && ((({L[4],L[7]} == 2'b00) && (!L[5] || (L[5] && (!L[8] || ({L[6],L[8]} == 2'b01))))) || L[4])) || (L[2] && ((({L[3],L[4],L[6],L[7]} == 4'b0011) && (({L[1],L[8]} == 2'b00) || ({L[1],L[8]} == 2'b11))) || (L[3] && ((!L[8] && (({L[1],L[4],L[5],L[6],L[7]} == 5'b00110) || L[4])) || ({L[1],L[4],L[6],L[7],L[8]} == 5'b00111))))))) || (L[0] && ((!L[1] && ((!L[2] && (({L[3],L[4],L[8]} == 3'b000) || (L[4] && (!L[8] || ({L[3],L[8]} == 2'b01))))) || (L[2] && (({L[3],L[4],L[6],L[8]} == 4'b1100) || (L[6] && ((!L[3] && ((({L[4],L[8]} == 2'b00) && (({L[5],L[7]} == 2'b01) || L[5])) || (({L[4],L[8]} == 2'b11) && (({L[5],L[7]} == 2'b01) || L[5])))) || (L[3] && ((({L[4],L[8]} == 2'b01) && (({L[5],L[7]} == 2'b01) || L[5])) || ({L[4],L[8]} == 2'b10))))))))) || (L[1] && (({L[2],L[3],L[4],L[8]} == 4'b0000) || (L[4] && ((!L[8] && (({L[2],L[3]} == 2'b00) || L[3])) || ({L[2],L[3],L[8]} == 3'b001))))))))); + +// action: SB = 0: + assign A_SB_0 = (!L[10] && ((({L[2],L[8],L[9]} == 3'b010) && ((!L[3] && ((({L[0],L[1],L[4],L[7]} == 4'b0000) && (({L[5],L[6]} == 2'b00) || L[6])) || ({L[0],L[4]} == 2'b11))) || (({L[0],L[3],L[4]} == 3'b010) && ((!L[5] && (({L[1],L[6]} == 2'b00) || (L[6] && (({L[1],L[7]} == 2'b00) || L[7])))) || (({L[1],L[5]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (L[9] && ((!L[0] && (({L[1],L[2],L[3],L[4],L[7]} == 5'b00000) || (L[2] && ((({L[1],L[3]} == 2'b01) && ((!L[8] && (({L[4],L[5],L[6],L[7]} == 4'b0110) || L[4])) || ({L[4],L[5],L[6],L[7],L[8]} == 5'b01101))) || (L[1] && ((!L[3] && ((({L[6],L[7]} == 2'b00) && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || (L[6] && (({L[4],L[8]} == 2'b00) || (L[8] && (({L[4],L[7]} == 2'b01) || L[4])))))) || (L[3] && ((({L[4],L[8]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])) || ({L[4],L[8]} == 2'b10))))))))) || (L[0] && ((!L[2] && ((!L[3] && (!L[4] || ({L[4],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b110))) || ({L[2],L[3],L[4],L[8]} == 4'b1110))))))) || (({L[0],L[10]} == 2'b01) && ((!L[9] && ((({L[1],L[2],L[3],L[4],L[7]} == 5'b00000) && (!L[5] || (L[5] && (({L[6],L[8]} == 2'b01) || ({L[6],L[8]} == 2'b10))))) || (L[2] && (({L[1],L[3],L[4],L[5],L[6],L[7],L[8]} == 7'b0101100) || (L[1] && (({L[3],L[4],L[6],L[7],L[8]} == 5'b01110) || (L[3] && (({L[4],L[6],L[7],L[8]} == 4'b0110) || (L[4] && (({L[6],L[7],L[8]} == 3'b000) || (L[6] && (({L[7],L[8]} == 2'b00) || L[7])))))))))))) || ({L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9]} == 9'b000000001))); + +// action: ALU_B = SB: + assign A_ALU_B_SB = (!L[0] && ((({L[1],L[2]} == 2'b00) && ((!L[4] && ((!L[7] && ((({L[3],L[5]} == 2'b00) && ((({L[6],L[10]} == 2'b01) && (!L[9] || ({L[8],L[9]} == 2'b01))) || (L[6] && ((!L[9] && (({L[8],L[10]} == 2'b01) || L[8])) || ({L[9],L[10]} == 2'b10))))) || (L[5] && ((!L[9] && (({L[3],L[6],L[8],L[10]} == 4'b0101) || (L[8] && ((!L[10] && (({L[3],L[6]} == 2'b01) || L[3])) || ({L[3],L[6],L[10]} == 3'b001))))) || ({L[3],L[6],L[9],L[10]} == 4'b0110))))) || ({L[3],L[6],L[7],L[8],L[9],L[10]} == 6'b111100))) || ({L[3],L[4],L[9],L[10]} == 4'b0110))) || (L[2] && ((!L[9] && ((({L[3],L[4],L[5],L[6],L[8],L[10]} == 6'b101101) && (({L[1],L[7]} == 2'b00) || ({L[1],L[7]} == 2'b11))) || (L[4] && (({L[1],L[8],L[10]} == 3'b010) || (L[1] && ((({L[8],L[10]} == 2'b01) && (({L[3],L[5],L[6],L[7]} == 4'b0111) || (L[3] && (({L[6],L[7]} == 2'b00) || L[6])))) || (L[8] && (!L[10] || ({L[3],L[5],L[6],L[7],L[10]} == 5'b11111))))))))) || (({L[9],L[10]} == 2'b10) && ((!L[1] && (({L[3],L[4],L[5],L[6],L[7],L[8]} == 6'b001000) || (L[3] && ((!L[8] && (({L[4],L[5],L[6],L[7]} == 4'b0110) || L[4])) || ({L[4],L[5],L[7],L[8]} == 4'b0101))))) || (L[1] && ((!L[3] && ((({L[6],L[7]} == 2'b00) && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || (L[6] && (({L[4],L[8]} == 2'b00) || (L[8] && (({L[4],L[5],L[7]} == 3'b011) || L[4])))))) || (L[3] && ((({L[4],L[8]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])) || ({L[4],L[8]} == 2'b10))))))))))) || (L[0] && ((!L[10] && ((({L[8],L[9]} == 2'b01) && ((!L[3] && (!L[2] || ({L[1],L[2],L[4],L[7]} == 4'b0100))) || ({L[3],L[4]} == 2'b11))) || (L[8] && ((!L[1] && ((!L[2] && ((!L[9] && (!L[3] || (L[3] && (({L[4],L[7]} == 2'b00) || L[4])))) || ({L[3],L[9]} == 2'b01))) || (L[2] && (({L[3],L[4],L[7],L[9]} == 4'b1001) || (L[4] && (!L[9] || ({L[3],L[7],L[9]} == 3'b001))))))) || (L[1] && ((!L[3] && (({L[2],L[4]} == 2'b00) || (L[4] && (!L[9] || ({L[2],L[9]} == 2'b01))))) || ({L[3],L[4],L[9]} == 3'b110))))))) || (({L[1],L[7],L[9],L[10]} == 4'b0001) && (({L[2],L[3],L[4],L[8]} == 4'b0001) || (L[4] && (({L[2],L[3],L[8]} == 3'b001) || ({L[3],L[8]} == 2'b10))))))); + +// action: ALU_CF = 1: + assign A_ALU_CF_1 = (!L[2] && ((!L[1] && ((!L[9] && ((!L[5] && ((!L[3] && (({L[0],L[4],L[7],L[8],L[10]} == 5'b00001) || (L[8] && (({L[0],L[4],L[6],L[10]} == 4'b0010) || (L[0] && (({L[4],L[6],L[7],L[10]} == 4'b0111) || (L[4] && (!L[10] || ({L[6],L[7],L[10]} == 3'b111))))))))) || (({L[3],L[6],L[7]} == 3'b111) && (({L[0],L[4],L[8],L[10]} == 4'b0010) || (L[0] && (({L[4],L[8],L[10]} == 3'b010) || ({L[4],L[8],L[10]} == 3'b101))))))) || (({L[5],L[8],L[10]} == 3'b110) && ((!L[3] && (({L[0],L[4],L[6]} == 3'b001) || ({L[0],L[4]} == 2'b11))) || (({L[0],L[3],L[4]} == 3'b010) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (({L[3],L[4],L[9],L[10]} == 4'b0010) && ((!L[8] && (({L[0],L[6],L[7]} == 3'b010) || L[0])) || ({L[0],L[5],L[6],L[7],L[8]} == 5'b00101))))) || (({L[0],L[1],L[3],L[10]} == 4'b1100) && (({L[4],L[8],L[9]} == 3'b001) || ({L[4],L[8],L[9]} == 3'b110))))) || (({L[2],L[6]} == 2'b11) && (({L[0],L[1],L[3],L[4],L[5],L[7],L[8],L[9],L[10]} == 9'b001010010) || (L[7] && ((!L[1] && (({L[0],L[3],L[4],L[5],L[8],L[9],L[10]} == 7'b1110001) || (({L[9],L[10]} == 2'b10) && ((!L[4] && ((({L[3],L[8]} == 2'b00) && (!L[5] || ({L[0],L[5]} == 2'b01))) || (({L[3],L[8]} == 2'b11) && (!L[5] || ({L[0],L[5]} == 2'b01))))) || ({L[0],L[3],L[4],L[5],L[8]} == 5'b10101))))) || (({L[0],L[1],L[5]} == 3'b011) && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))))))); + +// action: ALU_CF = ALUC: + assign A_ALU_CF_ALUC = ({L[0],L[2],L[3],L[4],L[8],L[9],L[10]} == 7'b0111010) || (({L[0],L[4],L[9],L[10]} == 4'b1110) && ((!L[2] && (({L[3],L[8]} == 2'b01) || ({L[3],L[8]} == 2'b10))) || ({L[2],L[3],L[8]} == 3'b110))); + +// action: ALU_B = NOT SB: + assign A_ALU_B_NOTSB = (({L[0],L[1],L[2],L[4],L[6],L[10]} == 6'b000000) && ((!L[7] && ((!L[5] && (({L[3],L[8],L[9]} == 3'b001) || (L[8] && (!L[9] || ({L[3],L[9]} == 2'b01))))) || ({L[3],L[5],L[9]} == 3'b011))) || ({L[3],L[5],L[7],L[8],L[9]} == 5'b10110))) || (L[6] && (({L[0],L[1],L[2],L[3],L[4],L[5],L[7],L[8],L[9],L[10]} == 10'b0001000100) || (L[7] && ((!L[9] && ((({L[2],L[4],L[8],L[10]} == 4'b0010) && (({L[0],L[1],L[3]} == 3'b000) || (L[3] && (({L[0],L[1],L[5]} == 3'b010) || (L[0] && (({L[1],L[5]} == 2'b00) || L[5])))))) || (L[10] && ((({L[0],L[1],L[2],L[5]} == 4'b0110) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || (({L[0],L[1]} == 2'b10) && (({L[2],L[3],L[4],L[8]} == 4'b0001) || (L[4] && (({L[2],L[3],L[8]} == 3'b001) || ({L[3],L[8]} == 2'b10))))))))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[1] && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[0],L[3],L[4],L[8]} == 4'b1011))) || ({L[0],L[1],L[3],L[4],L[5],L[8]} == 6'b010001))))))); + +// action: ALU_OP = ORA: + assign A_ALU_OP_ORA = (!L[0] && ((({L[1],L[2],L[3],L[4],L[7]} == 5'b00000) && (({L[5],L[6],L[8],L[9],L[10]} == 5'b11110) || (L[10] && ((!L[9] && (({L[5],L[6],L[8]} == 3'b110) || (L[8] && (!L[6] || ({L[5],L[6]} == 2'b01))))) || ({L[5],L[6],L[8],L[9]} == 4'b0001))))) || (L[2] && ((({L[1],L[3],L[4],L[5],L[6],L[7]} == 6'b010110) && (({L[8],L[9],L[10]} == 3'b001) || ({L[8],L[9],L[10]} == 3'b110))) || (L[1] && ((({L[3],L[4],L[8],L[9],L[10]} == 5'b11001) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[9],L[10]} == 2'b10) && ((!L[4] && ((({L[3],L[8]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[3],L[8]} == 2'b11) && (({L[6],L[7]} == 2'b00) || L[6])))) || (({L[3],L[4],L[8]} == 3'b011) && (({L[6],L[7]} == 2'b00) || L[6])))))))))) || (L[0] && ((!L[5] && ((!L[6] && ((!L[7] && ((!L[10] && ((!L[4] && (({L[1],L[2],L[3],L[8],L[9]} == 5'b01001) || (L[8] && ((!L[2] && (({L[1],L[3],L[9]} == 3'b010) || ({L[3],L[9]} == 2'b01))) || ({L[1],L[2],L[3],L[9]} == 4'b0111))))) || ({L[1],L[2],L[3],L[4],L[8],L[9]} == 6'b010111))) || (({L[1],L[9],L[10]} == 3'b001) && (({L[2],L[3],L[4],L[8]} == 4'b0001) || (L[4] && (({L[2],L[3],L[8]} == 3'b001) || ({L[3],L[8]} == 2'b10))))))) || ({L[2],L[3],L[4],L[7],L[8],L[9],L[10]} == 7'b0001110))) || ({L[2],L[3],L[4],L[6],L[8],L[9],L[10]} == 7'b0001110))) || ({L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 7'b0001110))); + +// action: ALU_A = DB: + assign A_ALU_A_DB = (!L[10] && ((({L[8],L[9]} == 2'b01) && ((({L[0],L[1],L[2],L[3],L[4]} == 5'b01100) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[4] && (({L[0],L[2],L[3]} == 3'b011) || (L[0] && (!L[2] || ({L[2],L[3]} == 2'b11))))))) || (L[8] && ((!L[0] && (({L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[9]} == 8'b00001101) || (L[2] && ((({L[3],L[4],L[9]} == 3'b101) && (({L[1],L[5],L[6],L[7]} == 4'b0110) || (L[1] && (({L[6],L[7]} == 2'b00) || L[6])))) || (L[4] && (!L[9] || (({L[1],L[3],L[9]} == 3'b101) && (({L[6],L[7]} == 2'b00) || L[6])))))))) || (L[0] && ((!L[2] && (!L[3] || ({L[3],L[4],L[9]} == 3'b110))) || ({L[2],L[4],L[9]} == 3'b110))))))) || (({L[0],L[10]} == 2'b01) && ((!L[9] && ((!L[7] && ((({L[1],L[4]} == 2'b00) && ((({L[2],L[3]} == 2'b00) && (({L[5],L[6],L[8]} == 3'b110) || (L[8] && (!L[6] || ({L[5],L[6]} == 2'b01))))) || ({L[2],L[3],L[5],L[6],L[8]} == 5'b11110))) || ({L[1],L[2],L[3],L[4],L[8]} == 5'b11110))) || ({L[1],L[2],L[3],L[4],L[6],L[7],L[8]} == 7'b1111110))) || ({L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9]} == 9'b000000001))); + +// action: SB = X: + assign A_SB_X = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01100001010) || (({L[8],L[10]} == 2'b10) && ((!L[9] && ((!L[2] && ((!L[4] && (({L[0],L[1],L[3],L[5],L[6],L[7]} == 6'b011001) || ({L[0],L[3]} == 2'b10))) || ({L[0],L[1],L[3],L[4],L[5],L[6],L[7]} == 7'b0111001))) || (({L[2],L[4]} == 2'b11) && ((!L[0] && (!L[1] || (L[1] && (({L[6],L[7]} == 2'b00) || L[6])))) || (L[0] && (!L[1] || (L[1] && (({L[6],L[7]} == 2'b00) || L[6])))))))) || (({L[0],L[1],L[2],L[5],L[6],L[7],L[9]} == 7'b0110011) && (({L[3],L[4]} == 2'b01) || ({L[3],L[4]} == 2'b10))))); + +// action: ALU_A = EAL: + assign A_ALU_A_EAL = (({L[0],L[1],L[2],L[3],L[8]} == 5'b00000) && (({L[4],L[5],L[6],L[7],L[9],L[10]} == 6'b000001) || ({L[4],L[9],L[10]} == 3'b110))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00110110010); + +// action: SB = PCL: + assign A_SB_PCL = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000100001) || ({L[0],L[1],L[2],L[3],L[4],L[8],L[9],L[10]} == 8'b00001010); + +// action: SB = Y: + assign A_SB_Y = (({L[0],L[1],L[2],L[4],L[5],L[6],L[7],L[9],L[10]} == 9'b001000110) && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || (({L[4],L[10]} == 2'b10) && (({L[0],L[2],L[3],L[8],L[9]} == 5'b10001) || (L[8] && ((!L[9] && (({L[1],L[2],L[3],L[6],L[7]} == 5'b11001) || (L[3] && ((!L[2] && (({L[0],L[1],L[5],L[6],L[7]} == 5'b00001) || L[0])) || ({L[1],L[2],L[6],L[7]} == 4'b1101))))) || ({L[0],L[1],L[2],L[3],L[5],L[6],L[7],L[9]} == 8'b00100011))))); + +// action: ALU_A = ALU: + assign A_ALU_A_ALU = (!L[3] && ((!L[4] && (({L[0],L[1],L[2],L[5],L[6],L[7],L[8],L[9],L[10]} == 9'b000010001) || (({L[9],L[10]} == 2'b10) && ((!L[2] && ((!L[8] && ((({L[0],L[1],L[7]} == 3'b000) && (({L[5],L[6]} == 2'b00) || L[6])) || L[0])) || (({L[0],L[1],L[7],L[8]} == 4'b0001) && (!L[6] || ({L[5],L[6]} == 2'b01))))) || (({L[0],L[1],L[2],L[8]} == 4'b0111) && (({L[6],L[7]} == 2'b00) || L[6])))))) || (({L[0],L[1],L[2],L[4],L[8],L[9],L[10]} == 7'b0111001) && (({L[6],L[7]} == 2'b00) || L[6])))) || (({L[0],L[1],L[2],L[3],L[9],L[10]} == 6'b011101) && ((({L[4],L[8]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[4],L[8]} == 2'b11) && (({L[6],L[7]} == 2'b00) || L[6])))); + +// action: ALU_A = S: + assign A_ALU_A_S = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000100010) || (({L[0],L[1],L[2],L[4],L[7],L[8],L[9],L[10]} == 8'b00000100) && ((!L[3] && (({L[5],L[6]} == 2'b00) || L[6])) || L[3])); + +// action: CF <= IR[5]: + assign E_CF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011000010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011100010); + +// action: IF <= IR[5]: + assign E_IF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011010010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011110010); + +// action: DF <= IR[5]: + assign E_DF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011011010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011111010); + +// action: VF <= 0: + assign E_VF__0 = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00011101010); + +// action: T <= 0 IF NF != IR[5]: + assign E_T__0IFNF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001000100) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001100100); + +// action: T <= 0 IF VF != IR[5]: + assign E_T__0IFVF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001010100) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001110100); + +// action: T <= 0 IF CF != IR[5]: + assign E_T__0IFCF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001001100) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001101100); + +// action: T <= 0 IF ZF == IR[5]: + assign E_T__0IFZF__IR_5_ = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001011100) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00001111100); + +// action: EA <= DB: + assign E_EA__DB = ({L[0],L[2],L[3],L[8],L[9],L[10]} == 6'b010100) || ({L[0],L[3],L[8],L[9],L[10]} == 5'b10100); + +// action: EAL <= DB: + assign E_EAL__DB = (({L[0],L[1],L[2],L[3],L[8],L[9],L[10]} == 7'b0000100) && (({L[4],L[5],L[6],L[7]} == 4'b0100) || L[4])) || (({L[3],L[8],L[9],L[10]} == 4'b1100) && (({L[0],L[2],L[4]} == 3'b101) || L[2])); + +// action: PCL <= RES: + assign E_PCL__RES = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000110110) || ({L[0],L[1],L[2],L[3],L[4],L[8],L[9],L[10]} == 8'b00001010); + +// action: T <= 0 IF_C7F: + assign E_T__0IF_C7F = ({L[0],L[1],L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 9'b000010010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 9'b000011010); + +// action: ALU_A = SIGN: + assign A_ALU_A_SIGN = ({L[0],L[1],L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 9'b000010110) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 9'b000011110); + +// action: SB = PCH: + assign A_SB_PCH = ({L[0],L[1],L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 9'b000010110) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[8],L[9],L[10]} == 9'b000011110); + +// action: PCH <= RES: + assign E_PCH__RES = (({L[0],L[1],L[2],L[3]} == 4'b0000) && ((!L[7] && (({L[4],L[8],L[9],L[10]} == 4'b1110) || (({L[4],L[10]} == 2'b01) && ((!L[9] && (({L[5],L[6],L[8]} == 3'b011) || (L[5] && (({L[6],L[8]} == 2'b01) || ({L[6],L[8]} == 2'b10))))) || ({L[5],L[6],L[8],L[9]} == 4'b0001))))) || ({L[4],L[7],L[8],L[9],L[10]} == 5'b11110))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00110110001); + +// action: EAH <= DB: + assign E_EAH__DB = (!L[8] && (({L[0],L[2],L[3],L[4],L[9],L[10]} == 6'b100001) || (({L[3],L[9],L[10]} == 3'b110) && (({L[0],L[2],L[4]} == 3'b101) || L[2])))) || ({L[0],L[2],L[3],L[4],L[8],L[9],L[10]} == 7'b1001110); + +// action: EAL <= ALU: + assign E_EAL__ALU = (({L[2],L[3],L[4],L[9],L[10]} == 5'b00001) && (({L[0],L[1],L[5],L[6],L[7],L[8]} == 6'b000001) || ({L[0],L[8]} == 2'b10))) || (({L[9],L[10]} == 2'b10) && ((({L[0],L[2]} == 2'b01) && (({L[1],L[3],L[4],L[5],L[6],L[7],L[8]} == 7'b0101101) || ({L[4],L[8]} == 2'b10))) || (L[0] && ((!L[2] && (!L[3] || ({L[3],L[4],L[8]} == 3'b110))) || ({L[2],L[4],L[8]} == 3'b110))))); + +// action: T <= T + 1 IF_ALUCZ: + assign E_T__T_1IF_ALUCZ = (({L[0],L[2],L[3],L[4],L[8],L[9],L[10]} == 7'b0111010) && ((({L[1],L[5]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[5] && ((!L[6] && (({L[1],L[7]} == 2'b00) || L[7])) || ({L[1],L[6]} == 2'b01))))) || (({L[0],L[4],L[9],L[10]} == 4'b1110) && ((!L[2] && ((({L[3],L[8]} == 2'b01) && (({L[1],L[7]} == 2'b00) || (L[7] && (({L[5],L[6]} == 2'b01) || L[5])))) || (({L[3],L[8]} == 2'b10) && (({L[1],L[7]} == 2'b00) || (L[7] && (({L[5],L[6]} == 2'b01) || L[5])))))) || (({L[2],L[3],L[8]} == 3'b110) && ((({L[1],L[5]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[5] && (({L[1],L[7]} == 2'b00) || L[7])))))); + +// action: EAH <= ALU: + assign E_EAH__ALU = ({L[0],L[2],L[3],L[4],L[8],L[9],L[10]} == 7'b0111110) || (({L[0],L[4]} == 2'b11) && ((!L[2] && (({L[3],L[8],L[9],L[10]} == 4'b0001) || ({L[3],L[8],L[9],L[10]} == 4'b1110))) || ({L[2],L[3],L[8],L[9],L[10]} == 5'b11110))); + +// action: PCL <= ALU: + assign E_PCL__ALU = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000000011) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00110110001); + +// action: SB = DB: + assign A_SB_DB = (!L[1] && ((!L[9] && ((!L[2] && ((({L[0],L[3],L[4],L[7],L[8],L[10]} == 6'b000110) && (({L[5],L[6]} == 2'b01) || L[5])) || (L[0] && ((({L[3],L[4],L[8],L[10]} == 4'b1101) && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])) || (L[8] && ((!L[4] && ((({L[3],L[10]} == 2'b01) && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])) || (({L[3],L[10]} == 2'b10) && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))) || (({L[3],L[4],L[10]} == 3'b011) && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))))))) || (({L[2],L[3],L[4],L[8],L[10]} == 5'b11101) && (({L[0],L[5],L[6],L[7]} == 4'b0101) || (L[0] && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))))) || (({L[9],L[10]} == 2'b10) && (({L[0],L[2],L[3],L[4],L[5],L[7],L[8]} == 7'b0010101) || (L[2] && ((!L[3] && ((({L[4],L[8]} == 2'b00) && ((!L[0] && (({L[5],L[6],L[7]} == 3'b011) || (L[5] && (({L[6],L[7]} == 2'b00) || L[7])))) || (L[0] && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))) || (({L[4],L[8]} == 2'b11) && (({L[0],L[5],L[6],L[7]} == 4'b0101) || (L[0] && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))))) || (({L[3],L[4],L[8]} == 3'b101) && ((!L[0] && (({L[5],L[6],L[7]} == 3'b011) || (L[5] && (({L[6],L[7]} == 2'b00) || L[7])))) || (L[0] && ((!L[5] && (({L[6],L[7]} == 2'b00) || L[6])) || L[5])))))))))) || (({L[1],L[5],L[7]} == 3'b111) && ((!L[6] && ((({L[2],L[8],L[9]} == 3'b010) && (({L[0],L[3],L[4],L[10]} == 4'b0000) || (L[0] && ((!L[4] && (({L[3],L[10]} == 2'b01) || ({L[3],L[10]} == 2'b10))) || ({L[3],L[4],L[10]} == 3'b011))))) || (L[2] && (({L[3],L[4],L[8],L[9],L[10]} == 5'b11001) || (({L[9],L[10]} == 2'b10) && ((!L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b101))))))) || ({L[0],L[2],L[3],L[4],L[6],L[8],L[9],L[10]} == 8'b10101100))); + +// action: AC <= SB: + assign E_AC__SB = (({L[6],L[7]} == 2'b01) && ((({L[0],L[2],L[3],L[5],L[8],L[9],L[10]} == 7'b0010100) && (({L[1],L[4]} == 2'b01) || ({L[1],L[4]} == 2'b10))) || (({L[0],L[5]} == 2'b11) && ((!L[9] && ((!L[2] && (({L[1],L[3],L[4],L[8],L[10]} == 5'b01101) || (L[8] && ((!L[4] && (({L[3],L[10]} == 2'b01) || ({L[3],L[10]} == 2'b10))) || ({L[3],L[4],L[10]} == 3'b011))))) || ({L[2],L[3],L[4],L[8],L[10]} == 5'b11101))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b101))))))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010110110); + +// action: ALU_A = AC: + assign A_ALU_A_AC = (!L[1] && ((({L[0],L[2],L[4],L[5],L[6],L[7],L[9],L[10]} == 8'b01010010) && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || (L[0] && ((!L[9] && ((({L[2],L[3],L[4],L[8],L[10]} == 5'b01010) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[10] && ((({L[2],L[3],L[4],L[8]} == 4'b0001) && (({L[6],L[7]} == 2'b00) || L[6])) || (L[4] && ((({L[2],L[3],L[8]} == 3'b001) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[3],L[8]} == 2'b10) && (({L[6],L[7]} == 2'b00) || L[6])))))))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[4] && ((({L[3],L[8]} == 2'b00) && (({L[6],L[7]} == 2'b00) || L[6])) || (({L[3],L[8]} == 2'b11) && (({L[6],L[7]} == 2'b00) || L[6])))) || (({L[3],L[4],L[8]} == 3'b011) && (({L[6],L[7]} == 2'b00) || L[6])))))))) || (({L[1],L[2],L[3],L[4],L[8],L[9],L[10]} == 7'b1010100) && (({L[0],L[7]} == 2'b00) || ({L[0],L[5],L[6],L[7]} == 4'b1111))); + +// action: AC <= RES: + assign E_AC__RES = ({L[0],L[1],L[2],L[3],L[4],L[7],L[8],L[9],L[10]} == 9'b010100100) || (L[0] && ((!L[9] && ((!L[2] && ((({L[1],L[3],L[4],L[8],L[10]} == 5'b01101) && (!L[7] || ({L[5],L[6],L[7]} == 3'b111))) || (L[8] && ((!L[4] && ((({L[1],L[3],L[10]} == 3'b001) && (!L[7] || ({L[5],L[6],L[7]} == 3'b111))) || (({L[3],L[10]} == 2'b10) && (({L[1],L[5],L[7]} == 3'b000) || (L[5] && (({L[1],L[6],L[7]} == 3'b000) || (L[6] && (({L[1],L[7]} == 2'b00) || L[7])))))))) || (({L[1],L[3],L[4],L[10]} == 4'b0011) && (!L[7] || ({L[5],L[6],L[7]} == 3'b111))))))) || (({L[1],L[2],L[3],L[4],L[8],L[10]} == 6'b011101) && (!L[7] || ({L[5],L[6],L[7]} == 3'b111))))) || (({L[1],L[2],L[9],L[10]} == 4'b0110) && ((!L[4] && ((!L[7] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || (({L[5],L[6],L[7]} == 3'b111) && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))))) || (({L[3],L[4],L[8]} == 3'b011) && (!L[7] || ({L[5],L[6],L[7]} == 3'b111))))))); + +// action: ALU_OP = AND: + assign A_ALU_OP_AND = (({L[0],L[1],L[2],L[4],L[5],L[6],L[7],L[9],L[10]} == 9'b001010010) && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || (({L[0],L[1],L[5],L[6],L[7]} == 5'b10100) && ((!L[9] && (({L[2],L[3],L[4],L[8],L[10]} == 5'b01010) || (L[10] && (({L[2],L[3],L[4],L[8]} == 4'b0001) || (L[4] && (({L[2],L[3],L[8]} == 3'b001) || ({L[3],L[8]} == 2'b10))))))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))))); + +// action: ALU_OP = EOR: + assign A_ALU_OP_EOR = (({L[0],L[1],L[5],L[6],L[7],L[9]} == 6'b100100) && (({L[2],L[3],L[4],L[8],L[10]} == 5'b01010) || (L[10] && (({L[2],L[3],L[4],L[8]} == 4'b0001) || (L[4] && (({L[2],L[3],L[8]} == 3'b001) || ({L[3],L[8]} == 2'b10))))))) || (({L[0],L[1],L[2],L[5],L[6],L[7],L[9],L[10]} == 8'b10101010) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))); + +// action: ALU_A = X: + assign A_ALU_A_X = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010011100) || (({L[0],L[1],L[4],L[5],L[6],L[7],L[10]} == 7'b0001110) && (({L[2],L[3],L[8],L[9]} == 4'b1001) || (L[8] && (({L[2],L[3],L[9]} == 3'b000) || (L[3] && (({L[2],L[9]} == 2'b00) || ({L[2],L[9]} == 2'b11))))))); + +// action: ALU_A = Y: + assign A_ALU_A_Y = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010001100) || (({L[0],L[1],L[4],L[5],L[6],L[7],L[10]} == 7'b0000110) && (({L[2],L[3],L[8],L[9]} == 4'b1001) || (L[8] && (({L[2],L[3],L[9]} == 3'b000) || (L[3] && (({L[2],L[9]} == 2'b00) || ({L[2],L[9]} == 2'b11))))))); + +// action: ALU_DF = D: + assign A_ALU_DF_D = (({L[0],L[5],L[6],L[9]} == 4'b1110) && ((!L[2] && (({L[1],L[3],L[4],L[8],L[10]} == 5'b01101) || (L[8] && ((!L[4] && (({L[1],L[3],L[10]} == 3'b001) || (({L[3],L[10]} == 2'b10) && (({L[1],L[7]} == 2'b00) || L[7])))) || ({L[1],L[3],L[4],L[10]} == 4'b0011))))) || ({L[1],L[2],L[3],L[4],L[8],L[10]} == 6'b011101))) || (({L[0],L[1],L[2],L[5],L[6],L[9],L[10]} == 7'b1011110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))); + +// action: ALU_CF = C: + assign A_ALU_CF_C = (({L[0],L[1],L[5],L[6]} == 4'b1011) && ((!L[9] && (({L[2],L[3],L[4],L[8],L[10]} == 5'b01010) || (L[10] && (({L[2],L[3],L[4],L[8]} == 4'b0001) || (L[4] && (({L[2],L[3],L[8]} == 3'b001) || ({L[3],L[8]} == 2'b10))))))) || (({L[2],L[9],L[10]} == 3'b110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))))) || (L[1] && ((({L[0],L[7]} == 2'b00) && (({L[2],L[3],L[4],L[8],L[9],L[10]} == 6'b010100) || (L[2] && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))))) || ({L[0],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 10'b1010111100))); + +// action: ALU_OP = ASL: + assign A_ALU_OP_ASL = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010000100) || (({L[0],L[1],L[2],L[5],L[6],L[7]} == 6'b011000) && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))); + +// action: RW = W: + assign A_RW_W = (!L[1] && ((!L[6] && ((!L[5] && ((({L[0],L[2],L[4],L[7]} == 4'b0000) && ((!L[8] && (({L[3],L[9],L[10]} == 3'b001) || ({L[9],L[10]} == 2'b10))) || ({L[3],L[8],L[9],L[10]} == 4'b0110))) || (L[7] && ((({L[0],L[2],L[9],L[10]} == 4'b0110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))) || (L[0] && ((({L[2],L[9],L[10]} == 3'b001) && (({L[3],L[4],L[8]} == 3'b001) || (L[4] && (({L[3],L[8]} == 2'b01) || ({L[3],L[8]} == 2'b10))))) || (L[2] && (({L[3],L[4],L[8],L[9],L[10]} == 5'b11001) || (({L[9],L[10]} == 2'b10) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))))))))))) || (({L[0],L[2],L[3],L[4],L[5],L[7]} == 6'b000010) && (({L[8],L[9],L[10]} == 3'b001) || ({L[8],L[9],L[10]} == 3'b110))))) || ({L[0],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 10'b0010010010))) || (({L[0],L[1],L[2]} == 3'b011) && ((({L[9],L[10]} == 2'b01) && ((({L[6],L[7]} == 2'b00) && ((!L[3] && (({L[4],L[8]} == 2'b00) || L[4])) || (L[3] && (({L[4],L[8]} == 2'b00) || L[8])))) || (L[6] && ((!L[3] && (({L[4],L[8]} == 2'b00) || L[4])) || (L[3] && (({L[4],L[8]} == 2'b00) || L[8])))))) || (L[9] && ((!L[10] && ((!L[4] && (({L[3],L[5],L[6],L[7],L[8]} == 5'b00010) || (L[8] && ((!L[5] && ((!L[6] && (({L[3],L[7]} == 2'b00) || ({L[3],L[7]} == 2'b11))) || ({L[3],L[6]} == 2'b01))) || (({L[3],L[5]} == 2'b01) && (({L[6],L[7]} == 2'b00) || L[6])))))) || ({L[3],L[4],L[5],L[6],L[7],L[8]} == 6'b010011))) || (({L[3],L[4],L[8],L[10]} == 4'b1101) && (({L[6],L[7]} == 2'b00) || L[6])))))); + +// action: SB = ALU: + assign A_SB_ALU = ({L[0],L[1],L[2],L[3],L[4],L[7],L[8],L[9],L[10]} == 9'b011000110) || (({L[0],L[1],L[2],L[7],L[10]} == 5'b01101) && ((!L[9] && ((!L[3] && (({L[4],L[8]} == 2'b00) || L[4])) || (L[3] && (({L[4],L[8]} == 2'b00) || L[8])))) || ({L[3],L[4],L[8],L[9]} == 4'b1101))); + +// action: DB <= SB: + assign E_DB__SB = (!L[1] && ((!L[5] && ((!L[6] && (({L[0],L[2],L[3],L[4],L[7],L[8],L[9],L[10]} == 8'b00100010) || (L[7] && ((({L[0],L[2],L[9],L[10]} == 4'b0110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))) || (L[0] && ((({L[2],L[9],L[10]} == 3'b001) && (({L[3],L[4],L[8]} == 3'b001) || (L[4] && (({L[3],L[8]} == 2'b01) || ({L[3],L[8]} == 2'b10))))) || (L[2] && (({L[3],L[4],L[8],L[9],L[10]} == 5'b11001) || (({L[9],L[10]} == 2'b10) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))))))))))) || ({L[0],L[2],L[3],L[4],L[6],L[7],L[8],L[9],L[10]} == 9'b001010010))) || ({L[0],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 10'b0000100001))) || (({L[0],L[1],L[2]} == 3'b011) && ((!L[7] && (({L[3],L[4],L[8],L[9],L[10]} == 5'b00110) || (L[10] && ((!L[9] && ((!L[3] && (({L[4],L[8]} == 2'b00) || L[4])) || (L[3] && (({L[4],L[8]} == 2'b00) || L[8])))) || ({L[3],L[4],L[8],L[9]} == 4'b1101))))) || (({L[5],L[6],L[7],L[9],L[10]} == 5'b00110) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))))); + +// action: ALU_OP = LSR: + assign A_ALU_OP_LSR = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010010100) || (({L[0],L[1],L[2],L[5],L[6],L[7]} == 6'b011010) && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))); + +// action: ALU_OP = ROL: + assign A_ALU_OP_ROL = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010100100) || (({L[0],L[1],L[2],L[5],L[6],L[7]} == 6'b011100) && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))); + +// action: ALU_OP = ROR: + assign A_ALU_OP_ROR = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010110100) || (({L[0],L[1],L[2],L[5],L[6],L[7]} == 6'b011110) && ((({L[9],L[10]} == 2'b01) && (({L[3],L[4],L[8]} == 3'b010) || (L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))))) || ({L[3],L[4],L[8],L[9],L[10]} == 5'b00110))); + +// action: SB = AC: + assign A_SB_AC = (!L[1] && ((!L[5] && (({L[0],L[2],L[3],L[4],L[6],L[7],L[8],L[9],L[10]} == 9'b001010010) || (({L[0],L[6],L[7]} == 3'b101) && ((({L[2],L[9],L[10]} == 3'b001) && (({L[3],L[4],L[8]} == 3'b001) || (L[4] && (({L[3],L[8]} == 2'b01) || ({L[3],L[8]} == 2'b10))))) || (L[2] && (({L[3],L[4],L[8],L[9],L[10]} == 5'b11001) || (({L[9],L[10]} == 2'b10) && ((!L[4] && (({L[3],L[8]} == 2'b00) || ({L[3],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b011))))))))) || ({L[0],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 10'b0010101100))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010101100); + +// action: X <= SB: + assign E_X__SB = (({L[1],L[2],L[5],L[6],L[7],L[8],L[9]} == 7'b1010110) && ((!L[10] && (({L[0],L[3],L[4]} == 3'b000) || (L[3] && (!L[4] || ({L[0],L[4]} == 2'b01))))) || ({L[0],L[3],L[10]} == 3'b101))) || (({L[1],L[2],L[5],L[6],L[7]} == 5'b11101) && (({L[3],L[4],L[8],L[9],L[10]} == 5'b11001) || (({L[9],L[10]} == 2'b10) && ((!L[3] && (({L[4],L[8]} == 2'b00) || ({L[4],L[8]} == 2'b11))) || ({L[3],L[4],L[8]} == 3'b101))))); + +// action: Y <= SB: + assign E_Y__SB = (({L[0],L[1],L[5],L[6],L[7],L[10]} == 6'b001010) && ((!L[4] && (({L[2],L[3],L[8],L[9]} == 4'b1001) || (L[8] && (({L[2],L[3],L[9]} == 3'b000) || (L[3] && (({L[2],L[9]} == 2'b00) || ({L[2],L[9]} == 2'b11))))))) || ({L[2],L[3],L[4],L[8],L[9]} == 5'b10111))) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00111101001); + +// action: SB = S: + assign A_SB_S = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01011101100); + +// action: S <= SB: + assign E_S__SB = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01011001100); + +// action: PC <= EA: + assign E_PC__EA = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00110010110); + +// action: S <= ALU: + assign E_S__ALU = (({L[0],L[1],L[2],L[4],L[7],L[8]} == 6'b000000) && ((({L[3],L[9],L[10]} == 3'b001) && (!L[6] || ({L[5],L[6]} == 2'b01))) || (({L[9],L[10]} == 2'b10) && ((!L[3] && (({L[5],L[6]} == 2'b00) || L[6])) || L[3])))) || ({L[0],L[1],L[2],L[3],L[4],L[7],L[8],L[9],L[10]} == 9'b000000110); + +// action: SB = P: + assign A_SB_P = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010000010); + +// action: P <= SB: + assign E_P__SB = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010100110); + +// action: X <= RES: + assign E_X__RES = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010111100) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b01010011100); + +// action: Y <= RES: + assign E_Y__RES = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010001100) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00010011100); + +// action: DB <= ALU: + assign E_DB__ALU = ({L[0],L[1],L[2],L[3],L[4],L[6],L[7],L[8],L[9],L[10]} == 10'b0110011110) || (({L[0],L[1],L[2],L[6],L[7],L[10]} == 6'b011111) && ((!L[9] && ((!L[3] && (({L[4],L[8]} == 2'b00) || L[4])) || (L[3] && (({L[4],L[8]} == 2'b00) || L[8])))) || ({L[3],L[4],L[8],L[9]} == 4'b1101))); + +// action: DB <= PCH: + assign E_DB__PCH = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000000010) || ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000100110); + +// action: PCL <= EAL: + assign E_PCL__EAL = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000100101); + +// action: DB <= PCL: + assign E_DB__PCL = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000000110); + +// action: DB <= P: + assign E_DB__P = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000000001); + +// action: P <= DB: + assign E_P__DB = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000010110); + +// action: PCL <= DB: + assign E_PCL__DB = ({L[0],L[1],L[2],L[3],L[4],L[5],L[6],L[7],L[8],L[9],L[10]} == 11'b00000010001); Index: trunk/digger/digger.xise =================================================================== --- trunk/digger/digger.xise (nonexistent) +++ trunk/digger/digger.xise (revision 5) @@ -0,0 +1,365 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Index: trunk/digger/digger.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/digger/digger.bin =================================================================== --- trunk/digger/digger.bin (nonexistent) +++ trunk/digger/digger.bin (revision 5)
trunk/digger/digger.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/digger/chip1.v =================================================================== --- trunk/digger/chip1.v (nonexistent) +++ trunk/digger/chip1.v (revision 5) @@ -0,0 +1,117 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Oleg Odintsov +// +// Create Date: 18:21:00 01/17/2012 +// Design Name: +// Project Name: Agat Hardware Project +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + + +module rot_driver(input clk, + input rot_a, input rot_b, + output wire rot_dir, output wire rot_event_out); + + reg rot_a_latch = 0, rot_b_latch = 0; + assign rot_dir = rot_b_latch, rot_event_out = rot_a_latch; + always @(posedge clk) begin + case ({rot_a, rot_b}) + 2'b00: rot_a_latch <= 1; + 2'b11: rot_a_latch <= 0; + 2'b10: rot_b_latch <= 1; + 2'b01: rot_b_latch <= 0; + endcase + end +endmodule + +module btn_driver(input clk, input btn, output reg sig = 0); + parameter nskip = 'hfff; + integer counter = 0; + wire lock = counter?1:0; + + always @(posedge clk) begin + if (counter) counter <= counter - 1; + if (!lock && sig != btn) begin + sig <= btn; + counter <= nskip; + end + end +endmodule + + +module chip1( + input clk, + input b1, + input b2, + input b3, + input b4, + input[3:0] SW, + input rot_a, rot_b, rot_center, + output[7:0] led, + output vga_red, + output vga_green, + output vga_blue, + output vga_hsync, + output vga_vsync, + output [3:0]j4, + input spi_miso, output spi_mosi, output spi_sck, output dac_cs, output dac_clr, + output spi_rom_cs, + output spi_amp_cs, + output spi_adc_conv, + output strataflash_oe, + output strataflash_ce, + output strataflash_we, + output platformflash_oe, + input ps2_clk, + input ps2_data + ); + + + + // access to DAC + assign spi_mosi = 0, spi_sck = 0, dac_cs = 0, dac_clr = 0; + // block other devices to access to DAC + assign spi_rom_cs = 1, spi_amp_cs = 1, spi_adc_conv = 0; + assign strataflash_oe = 1, strataflash_ce = 1, strataflash_we = 1; + assign platformflash_oe = 0; + + wire[4:0] vga_bus; + assign {vga_red, vga_green, vga_blue, vga_hsync, vga_vsync} = vga_bus; + wire[1:0] ps2_bus = {ps2_clk, ps2_data}; + + wire rot_dir, rot_event; + + wire clk_cpu; + wire b1v, b2v, b3v, b4v, brc; + rot_driver rot(clk_cpu, rot_a, rot_b, rot_dir, rot_event); + btn_driver b1d(clk_cpu, b1, b1v); + btn_driver b2d(clk_cpu, b2, b2v); + btn_driver b3d(clk_cpu, b3, b3v); + btn_driver b4d(clk_cpu, b4, b4v); + btn_driver rrd(clk_cpu, rot_center, brc); + reg rot_v = 0; + + always @(posedge rot_event) begin + rot_v <= rot_dir; + end + + +// assign j4 = 0, vga_bus = 0; + + wire[4:0] btns = {brc, b4v | (rot_event & ~rot_v), b3v, b2v, b1v | (rot_event & rot_v)}; + ag_main agate(clk, btns, SW, led, j4, vga_bus, ps2_bus, clk_cpu); + +endmodule Index: trunk/digger/videoctl.v =================================================================== --- trunk/digger/videoctl.v (nonexistent) +++ trunk/digger/videoctl.v (revision 5) @@ -0,0 +1,68 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: BMSTU +// Engineer: Oleg Odintsov +// +// Create Date: 20:41:53 01/18/2012 +// Design Name: +// Module Name: videoctl +// Project Name: Agat Hardware Project +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + +module video_counters( + input clk, + output reg video_vsync = 1, + output reg video_hsync = 1, + output video_on, + output reg [10:1] hpos = 0, + output reg [9:1] vpos = 0); + + integer hcnt = 0, vcnt = 0; + + reg video_von = 0, video_hon = 0; + assign video_on = video_von & video_hon; + + always @(posedge video_hsync) begin + vcnt <= vcnt + 1; + vpos <= video_von?vpos + 1: 0; + case (vcnt) + 2: video_vsync = 1; + 31: video_von = 1; + 511: video_von = 0; + 521: begin vcnt <=0; video_vsync = 0; end + endcase + end + + always @(posedge clk) begin + if (!video_hon) hcnt <= hcnt - 1; + else hpos <= hpos + 1; + + if (hpos == 639) video_hon <= 0; + + if (hpos == 640) begin + if (!hcnt) begin + hcnt <= 96; + video_hsync <= 0; + hpos <= 0; + end + end else if (!hcnt) begin + if (!video_hsync) begin + video_hsync <= 1; + hcnt <= 48; + end else if (!video_hon) begin + video_hon <= 1; + hcnt <= 16; + end + end + end +endmodule Index: trunk/digger/digger.ipf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/digger/digger.ipf =================================================================== --- trunk/digger/digger.ipf (nonexistent) +++ trunk/digger/digger.ipf (revision 5)
trunk/digger/digger.ipf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/ag_6502/ag_6502.v =================================================================== --- trunk/ag_6502/ag_6502.v (revision 4) +++ trunk/ag_6502/ag_6502.v (revision 5) @@ -16,6 +16,7 @@ // Revision: // Revision 0.01 - File Created // Revision 0.02 - Fixed NMI bug +// Revision 0.03 - Updated clocking constants to support higher frequencies // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// @@ -40,7 +41,7 @@ `else module ag6502_phase_shift(input baseclk, input phi_0, output reg phi_1); - parameter DELAY = 1; // delay in waves of baseclk + parameter DELAY = 1; // delay in semi-waves of baseclk initial phi_1 = 0; integer cnt = 0; @@ -54,7 +55,7 @@ // baseclk is used to simulate delays on a real hardware module ag6502_ext_clock(input baseclk, input phi_0, output phi_1, output phi_2); - parameter DELAY1 = 3, DELAY2 = 1; // delays in waves of baseclk + parameter DELAY1 = 2, DELAY2 = 0; // delays in semi-waves of baseclk wire phi_1_neg, phi_01;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.