OpenCores
URL https://opencores.org/ocsvn/am9080_cpu_based_on_microcoded_am29xx_bit-slices/am9080_cpu_based_on_microcoded_am29xx_bit-slices/trunk

Subversion Repositories am9080_cpu_based_on_microcoded_am29xx_bit-slices

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /am9080_cpu_based_on_microcoded_am29xx_bit-slices
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/trunk/ipcore_dir/_xmsgs/cg.xmsgs
0,0 → 1,30
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated
by the Xilinx ISE software. Any direct editing or
changes made to this file may result in unpredictable
behavior or data corruption. It is strongly advised that
users do not edit the contents of this file. -->
<messages>
<msg type="info" file="sim" num="172" delta="old" >Generating IP...
</msg>
 
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">A core named &apos;ram4kx8&apos; already exists in the project. Output products for this core may be overwritten.</arg>
</msg>
 
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">A core named &apos;ram4kx8&apos; already exists in the project. Output products for this core may be overwritten.</arg>
</msg>
 
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Pre-processing HDL files for &apos;ram4kx8&apos;...</arg>
</msg>
 
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Overwriting existing file C:/Users/zoltanp/Documents/HexCalc/Sys9080/ipcore_dir/tmp/_cg/ram4kx8/doc/blk_mem_gen_v7_3_vinfo.html with file from view xilinx_documentation</arg>
</msg>
 
<msg type="info" file="sim" num="949" delta="old" >Finished generation of ASY schematic symbol.
</msg>
 
<msg type="info" file="sim" num="948" delta="old" >Finished FLIST file generation.
</msg>
 
</messages>
 
/trunk/ipcore_dir/_xmsgs/pn_parser.xmsgs
0,0 → 1,15
<?xml version="1.0" encoding="UTF-8"?>
<!-- IMPORTANT: This is an internal file that has been generated -->
<!-- by the Xilinx ISE software. Any direct editing or -->
<!-- changes made to this file may result in unpredictable -->
<!-- behavior or data corruption. It is strongly advised that -->
<!-- users do not edit the contents of this file. -->
<!-- -->
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
 
<messages>
<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file &quot;C:/Users/zoltanp/Documents/HexCalc/Sys9080/ipcore_dir/rom4kx8.vhd&quot; into library work</arg>
</msg>
 
</messages>
 
trunk/ipcore_dir/_xmsgs/pn_parser.xmsgs Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/doc/blk_mem_gen_v7_3_vinfo.html =================================================================== --- trunk/ipcore_dir/ram4kx8/doc/blk_mem_gen_v7_3_vinfo.html (nonexistent) +++ trunk/ipcore_dir/ram4kx8/doc/blk_mem_gen_v7_3_vinfo.html (revision 6) @@ -0,0 +1,224 @@ + + +blk_mem_gen_v7_3_vinfo + + + +









    +                Core name: Xilinx LogiCORE Block Memory Generator








    +                Version: 7.3 Rev 1








    +                Release: ISE 14.4 / Vivado 2012.4








    +                Release Date: October 16, 2012








    +








    +--------------------------------------------------------------------------------








    +








    +Table of Contents








    +








    +1. INTRODUCTION








    +2. DEVICE SUPPORT








    +3. NEW FEATURES HISTORY








    +4. RESOLVED ISSUES








    +5. KNOWN ISSUES & LIMITATIONS








    +6. TECHNICAL SUPPORT & FEEDBACK








    +7. CORE RELEASE HISTORY








    +8. LEGAL DISCLAIMER








    +








    +--------------------------------------------------------------------------------








    +








    +








    +1. INTRODUCTION








    +








    +For installation instructions for this release, please go to:








    +








    +  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm








    +








    +For system requirements:








    +








    +   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm








    +








    +This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v7.3








    +solution. For the latest core updates, see the product page at:








    +








    + www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm








    +








    +








    +................................................................................








    +








    +








    +2. DEVICE SUPPORT








    +








    +








    +  2.1 ISE








    +








    +  The following device families are supported by the core for this release.








    +








    +  All 7 Series devices








    +  Zynq-7000 devices








    +  All Virtex-6 devices








    +  All Spartan-6 devices








    +  All Virtex-5 devices








    +  All Spartan-3 devices








    +  All Virtex-4 devices








    +








    +








    +  2.2 Vivado








    +  All 7 Series devices








    +  Zynq-7000 devices








    +








    +................................................................................








    +








    +3. NEW FEATURES HISTORY








    +








    +








    +  3.1 ISE








    +








    +    - ISE 14.4 software support








    +








    +








    +  3.2 Vivado








    +








    +    - 2012.4 software support








    +








    +








    +................................................................................








    +








    +








    +4. RESOLVED ISSUES








    +








    +








    +The following issues are resolved in Block Memory Generator v7.3:








    +








    +  4.1 ISE








    +








    +








    +  4.2 Vivado








    +








    +








    +................................................................................








    +








    +








    +5. KNOWN ISSUES & LIMITATIONS








    +








    +








    +  5.1 ISE








    +








    +    The following are known issues for v7.3 of this core at time of release:








    +








    +    1. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3.








    +








    +    3. Core does not generate for large memories. Depending on the








    +       machine the ISE CORE Generator software runs on, the maximum size of the memory that








    +       can be generated will vary.  For example, a Dual Pentium-4 server








    +       with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes








    +      - CR 415768








    +      - AR 24034








    +








    +








    +  5.2 Vivado








    +








    +    The following are known issues for v7.3 of this core at time of release:








    +








    +  The most recent information, including known issues, workarounds, and resolutions for








    +  this version is provided in the IP Release Notes User Guide located at








    +








    +         www.xilinx.com/support/documentation/user_guides/xtp025.pdf








    +








    +................................................................................








    +








    +








    +6. TECHNICAL SUPPORT & FEEDBACK








    +








    +To obtain technical support, create a WebCase at www.xilinx.com/support.








    +Questions are routed to a team with expertise using this product.








    +








    +Xilinx provides technical support for use of this product when used








    +according to the guidelines described in the core documentation, and








    +cannot guarantee timing, functionality, or support of this product for








    +designs that do not follow specified guidelines.








    +








    +








    +








    +7. CORE RELEASE HISTORY








    +








    +Date        By            Version      Description








    +================================================================================








    +12/16/2012  Xilinx, Inc.  7.3 Rev 1    ISE 14.4 and Vivado 2012.4 support;








    +10/16/2012  Xilinx, Inc.  7.3          ISE 14.3 and Vivado 2012.3 support;








    +07/25/2012  Xilinx, Inc.  7.2          ISE 14.2 and Vivado 2012.2 support;








    +04/24/2012  Xilinx, Inc.  7.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support








    +01/18/2011  Xilinx, Inc.  6.3          ISE 13.4 support;Artix7L*, AArtix-7* device support








    +06/22/2011  Xilinx, Inc.  6.2          ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support;








    +03/01/2011  Xilinx, Inc.  6.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support








    +09/21/2010  Xilinx, Inc.  4.3          ISE 12.3 support








    +07/23/2010  Xilinx, Inc.  4.2          ISE 12.2 support








    +04/19/2010  Xilinx, Inc.  4.1          ISE 12.1 support








    +03/09/2010  Xilinx, Inc.  3.3 rev 2    Fix for V6 Memory collision issue








    +12/02/2009  Xilinx, Inc.  3.3 rev 1    ISE 11.4 support; Spartan-6 Low Power








    +                                       Device support; Automotive Spartan 3A








    +                                       DSP device support








    +09/16/2009  Xilinx, Inc.  3.3          Revised to v3.3








    +06/24/2009  Xilinx, Inc.  3.2          Revised to v3.2








    +04/24/2009  Xilinx, Inc.  3.1          Revised to v3.1








    +09/19/2008  Xilinx, Inc.  2.8          Revised to v2.8








    +03/24/2008  Xilinx, Inc.  2.7          10.1 support; Revised to v2.7








    +10/03/2007  Xilinx, Inc.  2.6          Revised to v2.6








    +07/2007     Xilinx, Inc.  2.5          Revised to v2.5








    +04/2007     Xilinx, Inc.  2.4          Revised to v2.4 rev 1








    +02/2007     Xilinx, Inc.  2.4          Revised to v2.4








    +11/2006     Xilinx, Inc.  2.3          Revised to v2.3








    +09/2006     Xilinx, Inc.  2.2          Revised to v2.2








    +06/2006     Xilinx, Inc.  2.1          Revised to v2.1








    +01/2006     Xilinx, Inc.  1.1          Initial release








    +================================================================================








    +








    +8. Legal Disclaimer








    +








    +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.








    +








    +  This file contains confidential and proprietary information








    +  of Xilinx, Inc. and is protected under U.S. and








    +  international copyright and other intellectual property








    +  laws.








    +








    +  DISCLAIMER








    +  This disclaimer is not a license and does not grant any








    +  rights to the materials distributed herewith. Except as








    +  otherwise provided in a valid license issued to you by








    +  Xilinx, and to the maximum extent permitted by applicable








    +  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND








    +  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES








    +  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING








    +  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-








    +  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and








    +  (2) Xilinx shall not be liable (whether in contract or tort,








    +  including negligence, or under any other theory of








    +  liability) for any loss or damage of any kind or nature








    +  related to, arising under or in connection with these








    +  materials, including for any direct, or any indirect,








    +  special, incidental, or consequential loss or damage








    +  (including loss of data, profits, goodwill, or any type of








    +  loss or damage suffered as a result of any action brought








    +  by a third party) even if such damage or loss was








    +  reasonably foreseeable or Xilinx had been advised of the








    +  possibility of the same.








    +








    +  CRITICAL APPLICATIONS








    +  Xilinx products are not designed or intended to be fail-








    +  safe, or for use in any application requiring fail-safe








    +  performance, such as life-support or safety devices or








    +  systems, Class III medical devices, nuclear facilities,








    +  applications related to the deployment of airbags, or any








    +  other applications that could lead to death, personal








    +  injury, or severe property or environmental damage








    +  (individually and collectively, "Critical








    +  Applications"). Customer assumes the sole risk and








    +  liability of any use of Xilinx products in Critical








    +  Applications, subject only to applicable laws and








    +  regulations governing limitations on product liability.








    +








    +  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS








    +  PART OF THIS FILE AT ALL TIMES.








    +








    +
+ +
trunk/ipcore_dir/ram4kx8/doc/blk_mem_gen_v7_3_vinfo.html Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/doc/pg058-blk-mem-gen.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/ipcore_dir/ram4kx8/doc/pg058-blk-mem-gen.pdf =================================================================== --- trunk/ipcore_dir/ram4kx8/doc/pg058-blk-mem-gen.pdf (nonexistent) +++ trunk/ipcore_dir/ram4kx8/doc/pg058-blk-mem-gen.pdf (revision 6)
trunk/ipcore_dir/ram4kx8/doc/pg058-blk-mem-gen.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.ucf =================================================================== --- trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.ucf (nonexistent) +++ trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.ucf (revision 6) @@ -0,0 +1,57 @@ +################################################################################ +# +# (c) Copyright 2002 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Tx Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +NET "CLKA" TNM_NET = "CLKA"; + +TIMESPEC "TS_CLKA" = PERIOD "CLKA" 25 MHZ; + +################################################################################
trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.ucf Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.vhd (revision 6) @@ -0,0 +1,166 @@ + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7.1 Core - Top-level core wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: ram4kx8_exdes.vhd +-- +-- Description: +-- This is the actual BMG core wrapper. +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: August 31, 2005 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY UNISIM; +USE UNISIM.VCOMPONENTS.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY ram4kx8_exdes IS + PORT ( + --Inputs - Port A + ENA : IN STD_LOGIC; --opt port + + WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + + DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + CLKA : IN STD_LOGIC + + + ); + +END ram4kx8_exdes; + + +ARCHITECTURE xilinx OF ram4kx8_exdes IS + + COMPONENT BUFG IS + PORT ( + I : IN STD_ULOGIC; + O : OUT STD_ULOGIC + ); + END COMPONENT; + + COMPONENT ram4kx8 IS + PORT ( + --Port A + ENA : IN STD_LOGIC; --opt port + + WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + + DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + CLKA : IN STD_LOGIC + + + + ); + END COMPONENT; + + SIGNAL CLKA_buf : STD_LOGIC; + SIGNAL CLKB_buf : STD_LOGIC; + SIGNAL S_ACLK_buf : STD_LOGIC; + +BEGIN + + bufg_A : BUFG + PORT MAP ( + I => CLKA, + O => CLKA_buf + ); + + + + bmg0 : ram4kx8 + PORT MAP ( + --Port A + ENA => ENA, + + WEA => WEA, + ADDRA => ADDRA, + + DINA => DINA, + + DOUTA => DOUTA, + + CLKA => CLKA_buf + + + ); + +END xilinx;
trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.xdc =================================================================== --- trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.xdc (nonexistent) +++ trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.xdc (revision 6) @@ -0,0 +1,54 @@ +################################################################################ +# +# (c) Copyright 2002 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +create_clock -name "TS_CLKA" -period 20.0 [ get_ports CLKA ] +################################################################################
trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_exdes.xdc Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_prod.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_prod.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_prod.vhd (revision 6) @@ -0,0 +1,270 @@ + + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7.1 Core - Top-level wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-------------------------------------------------------------------------------- +-- +-- Filename: ram4kx8_prod.vhd +-- +-- Description: +-- This is the top-level BMG wrapper (over BMG core). +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: August 31, 2005 - First Release +-------------------------------------------------------------------------------- +-- +-- Configured Core Parameter Values: +-- (Refer to the SIM Parameters table in the datasheet for more information on +-- the these parameters.) +-- C_FAMILY : spartan3a +-- C_XDEVICEFAMILY : spartan3a +-- C_INTERFACE_TYPE : 0 +-- C_ENABLE_32BIT_ADDRESS : 0 +-- C_AXI_TYPE : 1 +-- C_AXI_SLAVE_TYPE : 0 +-- C_AXI_ID_WIDTH : 4 +-- C_MEM_TYPE : 0 +-- C_BYTE_SIZE : 9 +-- C_ALGORITHM : 1 +-- C_PRIM_TYPE : 1 +-- C_LOAD_INIT_FILE : 0 +-- C_INIT_FILE_NAME : no_coe_file_loaded +-- C_USE_DEFAULT_DATA : 1 +-- C_DEFAULT_DATA : FF +-- C_RST_TYPE : SYNC +-- C_HAS_RSTA : 0 +-- C_RST_PRIORITY_A : CE +-- C_RSTRAM_A : 0 +-- C_INITA_VAL : 0 +-- C_HAS_ENA : 1 +-- C_HAS_REGCEA : 0 +-- C_USE_BYTE_WEA : 0 +-- C_WEA_WIDTH : 1 +-- C_WRITE_MODE_A : WRITE_FIRST +-- C_WRITE_WIDTH_A : 8 +-- C_READ_WIDTH_A : 8 +-- C_WRITE_DEPTH_A : 256 +-- C_READ_DEPTH_A : 256 +-- C_ADDRA_WIDTH : 8 +-- C_HAS_RSTB : 0 +-- C_RST_PRIORITY_B : CE +-- C_RSTRAM_B : 0 +-- C_INITB_VAL : 0 +-- C_HAS_ENB : 0 +-- C_HAS_REGCEB : 0 +-- C_USE_BYTE_WEB : 0 +-- C_WEB_WIDTH : 1 +-- C_WRITE_MODE_B : WRITE_FIRST +-- C_WRITE_WIDTH_B : 8 +-- C_READ_WIDTH_B : 8 +-- C_WRITE_DEPTH_B : 256 +-- C_READ_DEPTH_B : 256 +-- C_ADDRB_WIDTH : 8 +-- C_HAS_MEM_OUTPUT_REGS_A : 0 +-- C_HAS_MEM_OUTPUT_REGS_B : 0 +-- C_HAS_MUX_OUTPUT_REGS_A : 0 +-- C_HAS_MUX_OUTPUT_REGS_B : 0 +-- C_HAS_SOFTECC_INPUT_REGS_A : 0 +-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 +-- C_MUX_PIPELINE_STAGES : 0 +-- C_USE_ECC : 0 +-- C_USE_SOFTECC : 0 +-- C_HAS_INJECTERR : 0 +-- C_SIM_COLLISION_CHECK : ALL +-- C_COMMON_CLK : 0 +-- C_DISABLE_WARN_BHV_COLL : 0 +-- C_DISABLE_WARN_BHV_RANGE : 0 + +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY UNISIM; +USE UNISIM.VCOMPONENTS.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY ram4kx8_prod IS + PORT ( + --Port A + CLKA : IN STD_LOGIC; + RSTA : IN STD_LOGIC; --opt port + ENA : IN STD_LOGIC; --optional port + REGCEA : IN STD_LOGIC; --optional port + WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + --Port B + CLKB : IN STD_LOGIC; + RSTB : IN STD_LOGIC; --opt port + ENB : IN STD_LOGIC; --optional port + REGCEB : IN STD_LOGIC; --optional port + WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + --ECC + INJECTSBITERR : IN STD_LOGIC; --optional port + INJECTDBITERR : IN STD_LOGIC; --optional port + SBITERR : OUT STD_LOGIC; --optional port + DBITERR : OUT STD_LOGIC; --optional port + RDADDRECC : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --optional port + -- AXI BMG Input and Output Port Declarations + + -- AXI Global Signals + S_ACLK : IN STD_LOGIC; + S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_AWVALID : IN STD_LOGIC; + S_AXI_AWREADY : OUT STD_LOGIC; + S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + S_AXI_WLAST : IN STD_LOGIC; + S_AXI_WVALID : IN STD_LOGIC; + S_AXI_WREADY : OUT STD_LOGIC; + S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); + S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_BVALID : OUT STD_LOGIC; + S_AXI_BREADY : IN STD_LOGIC; + + -- AXI Full/Lite Slave Read (Write side) + S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_ARVALID : IN STD_LOGIC; + S_AXI_ARREADY : OUT STD_LOGIC; + S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); + S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_RLAST : OUT STD_LOGIC; + S_AXI_RVALID : OUT STD_LOGIC; + S_AXI_RREADY : IN STD_LOGIC; + + -- AXI Full/Lite Sideband Signals + S_AXI_INJECTSBITERR : IN STD_LOGIC; + S_AXI_INJECTDBITERR : IN STD_LOGIC; + S_AXI_SBITERR : OUT STD_LOGIC; + S_AXI_DBITERR : OUT STD_LOGIC; + S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + S_ARESETN : IN STD_LOGIC + + + ); + +END ram4kx8_prod; + + +ARCHITECTURE xilinx OF ram4kx8_prod IS + + COMPONENT ram4kx8_exdes IS + PORT ( + --Port A + ENA : IN STD_LOGIC; --opt port + + WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + + DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + CLKA : IN STD_LOGIC + + + + + ); + END COMPONENT; + +BEGIN + + bmg0 : ram4kx8_exdes + PORT MAP ( + --Port A + ENA => ENA, + + WEA => WEA, + ADDRA => ADDRA, + + DINA => DINA, + + DOUTA => DOUTA, + + CLKA => CLKA + + + + ); +END xilinx;
trunk/ipcore_dir/ram4kx8/example_design/ram4kx8_prod.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/implement.bat =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/implement.bat (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/implement.bat (revision 6) @@ -0,0 +1,48 @@ + + + + + + + + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy ram4kx8_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\ram4kx8.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\ram4kx8_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc3s200a-vq100-4 ram4kx8_exdes + +echo 'Running map' +map ram4kx8_exdes -o mapped.ncd -pr i + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level VHDL model' +netgen -ofmt vhdl -sim -tm ram4kx8_exdes -pcf mapped.pcf -w routed.ncd routed.vhd
trunk/ipcore_dir/ram4kx8/implement/implement.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/implement.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/implement.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/implement.sh (revision 6) @@ -0,0 +1,48 @@ + + + + + + + + +#!/bin/sh + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp ram4kx8_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../ram4kx8.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/ram4kx8_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc3s200a-vq100-4 ram4kx8_exdes + +echo 'Running map' +map ram4kx8_exdes -o mapped.ncd -pr i + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level VHDL model' +netgen -ofmt vhdl -sim -tm ram4kx8_exdes -pcf mapped.pcf -w routed.ncd routed.vhd
trunk/ipcore_dir/ram4kx8/implement/implement.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.bat =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.bat (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.bat (revision 6) @@ -0,0 +1,55 @@ +#!/bin/sh +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\ram4kx8.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl
trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.sh (revision 6) @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../ram4kx8.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl
trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.tcl =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.tcl (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.tcl (revision 6) @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc3s200avq100-4 +set projName ram4kx8 +set design ram4kx8 +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module ram4kx8_exdes +add_files -norecurse {../../example_design/ram4kx8_exdes.vhd} +add_files -norecurse {./ram4kx8.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/ram4kx8_exdes.xdc} +set_property top ram4kx8_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module ram4kx8_exdes -file routed.sdf +write_vhdl -mode sim routed.vhd +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow}
trunk/ipcore_dir/ram4kx8/implement/planAhead_ise.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/xst.prj =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/xst.prj (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/xst.prj (revision 6) @@ -0,0 +1 @@ +work ../example_design/ram4kx8_exdes.vhd
trunk/ipcore_dir/ram4kx8/implement/xst.prj Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/implement/xst.scr =================================================================== --- trunk/ipcore_dir/ram4kx8/implement/xst.scr (nonexistent) +++ trunk/ipcore_dir/ram4kx8/implement/xst.scr (revision 6) @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent ram4kx8_exdes +-p xc3s200a-vq100-4 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn ram4kx8_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain
trunk/ipcore_dir/ram4kx8/implement/xst.scr Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simcmds.tcl =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simcmds.tcl (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simcmds.tcl (revision 6) @@ -0,0 +1,63 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + + + + + + +wcfg new +isim set radix hex +wave add /ram4kx8_tb/status + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/CLKA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/ADDRA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/DINA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/WEA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/ENA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/DOUTA +run all +quit
trunk/ipcore_dir/ram4kx8/simulation/functional/simcmds.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_isim.bat =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_isim.bat (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_isim.bat (revision 6) @@ -0,0 +1,68 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. +::-------------------------------------------------------------------------------- + + + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhpcomp -work work ..\..\..\ram4kx8.vhd +vhpcomp -work work ..\..\example_design\ram4kx8_exdes.vhd + +echo "Compiling Test Bench Files" + +vhpcomp -work work ..\bmg_tb_pkg.vhd +vhpcomp -work work ..\random.vhd +vhpcomp -work work ..\data_gen.vhd +vhpcomp -work work ..\addr_gen.vhd +vhpcomp -work work ..\checker.vhd +vhpcomp -work work ..\bmg_stim_gen.vhd +vhpcomp -work work ..\ram4kx8_synth.vhd +vhpcomp -work work ..\ram4kx8_tb.vhd + +fuse work.ram4kx8_tb -L unisims -L xilinxcorelib -o ram4kx8_tb.exe + + +.\ram4kx8_tb.exe -gui -tclbatch simcmds.tcl
trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_isim.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.bat =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.bat (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.bat (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.do =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.do (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.do (revision 6) @@ -0,0 +1,74 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + vlib work +vmap work work + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vcom -work work ../../../ram4kx8.vhd \ + ../../example_design/ram4kx8_exdes.vhd + +echo "Compiling Test Bench Files" + +vcom -work work ../bmg_tb_pkg.vhd +vcom -work work ../random.vhd +vcom -work work ../data_gen.vhd +vcom -work work ../addr_gen.vhd +vcom -work work ../checker.vhd +vcom -work work ../bmg_stim_gen.vhd +vcom -work work ../ram4kx8_synth.vhd +vcom -work work ../ram4kx8_tb.vhd + +vsim -novopt -t ps -L XilinxCoreLib -L unisim work.ram4kx8_tb + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all
trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.sh (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_mti.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_ncsim.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_ncsim.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_ncsim.sh (revision 6) @@ -0,0 +1,70 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + + +mkdir work +echo "Compiling Core VHDL UNISIM/Behavioral model" +ncvhdl -v93 -work work ../../../ram4kx8.vhd \ + ../../example_design/ram4kx8_exdes.vhd + +echo "Compiling Test Bench Files" + +ncvhdl -v93 -work work ../bmg_tb_pkg.vhd +ncvhdl -v93 -work work ../random.vhd +ncvhdl -v93 -work work ../data_gen.vhd +ncvhdl -v93 -work work ../addr_gen.vhd +ncvhdl -v93 -work work ../checker.vhd +ncvhdl -v93 -work work ../bmg_stim_gen.vhd +ncvhdl -v93 -work work ../ram4kx8_synth.vhd +ncvhdl -v93 -work work ../ram4kx8_tb.vhd + +echo "Elaborating Design" +ncelab -access +rwc work.ram4kx8_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.ram4kx8_tb
trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_ncsim.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_vcs.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_vcs.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_vcs.sh (revision 6) @@ -0,0 +1,69 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +#!/bin/sh +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhdlan ../../../ram4kx8.vhd +vhdlan ../../example_design/ram4kx8_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../bmg_tb_pkg.vhd +vhdlan ../random.vhd +vhdlan ../data_gen.vhd +vhdlan ../addr_gen.vhd +vhdlan ../checker.vhd +vhdlan ../bmg_stim_gen.vhd +vhdlan ../ram4kx8_synth.vhd +vhdlan ../ram4kx8_tb.vhd + +echo "Elaborating Design" +vcs +vcs+lic+wait -debug ram4kx8_tb + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl
trunk/ipcore_dir/ram4kx8/simulation/functional/simulate_vcs.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/ucli_commands.key =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/ucli_commands.key (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/ucli_commands.key (revision 6) @@ -0,0 +1,4 @@ +dump -file bmg_vcs.vpd -type VPD +dump -add ram4kx8_tb +run +quit
trunk/ipcore_dir/ram4kx8/simulation/functional/ucli_commands.key Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/vcs_session.tcl =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/vcs_session.tcl (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/vcs_session.tcl (revision 6) @@ -0,0 +1,83 @@ + + + + + + + + +#-------------------------------------------------------------------------------- +#-- +#-- BMG core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {bmg_vcs.vpd}] } { + gui_open_db -design V1 -file bmg_vcs.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create ram4kx8_Group +gui_list_add_group -id Wave.1 {ram4kx8_Group} + + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/status + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/CLKA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ADDRA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DINA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/WEA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ENA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DOUTA + +gui_zoom -window Wave.1 -full
trunk/ipcore_dir/ram4kx8/simulation/functional/vcs_session.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/wave_mti.do =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/wave_mti.do (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/wave_mti.do (revision 6) @@ -0,0 +1,36 @@ + + + + + + + + +onerror {resume} +quietly WaveActivateNextPane {} 0 + + add wave -noupdate /ram4kx8_tb/status + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/CLKA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ADDRA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DINA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/WEA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ENA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DOUTA + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps}
trunk/ipcore_dir/ram4kx8/simulation/functional/wave_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/functional/wave_ncsim.sv =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/functional/wave_ncsim.sv (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/functional/wave_ncsim.sv (revision 6) @@ -0,0 +1,21 @@ + + + + + + + + + +window new WaveWindow -name "Waves for BMG Example Design" +waveform using "Waves for BMG Example Design" + + waveform add -signals /ram4kx8_tb/status + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/CLKA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ADDRA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DINA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/WEA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ENA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DOUTA + +console submit -using simulator -wait no "run"
trunk/ipcore_dir/ram4kx8/simulation/functional/wave_ncsim.sv Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simcmds.tcl =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simcmds.tcl (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simcmds.tcl (revision 6) @@ -0,0 +1,63 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + + + + + + +wcfg new +isim set radix hex +wave add /ram4kx8_tb/status + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/CLKA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/ADDRA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/DINA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/WEA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/ENA + wave add /ram4kx8_tb/ram4kx8_synth_inst/BMG_PORT/DOUTA +run all +quit
trunk/ipcore_dir/ram4kx8/simulation/timing/simcmds.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_isim.bat =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_isim.bat (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_isim.bat (revision 6) @@ -0,0 +1,67 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. +::-------------------------------------------------------------------------------- + + + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhpcomp -work work ..\..\implement\results\routed.vhd + +echo "Compiling Test Bench Files" + +vhpcomp -work work ..\bmg_tb_pkg.vhd +vhpcomp -work work ..\random.vhd +vhpcomp -work work ..\data_gen.vhd +vhpcomp -work work ..\addr_gen.vhd +vhpcomp -work work ..\checker.vhd +vhpcomp -work work ..\bmg_stim_gen.vhd +vhpcomp -work work ..\ram4kx8_synth.vhd +vhpcomp -work work ..\ram4kx8_tb.vhd + + + fuse -L simprim work.ram4kx8_tb -o ram4kx8_tb.exe + +.\ram4kx8_tb.exe -sdftyp /ram4kx8_tb/ram4kx8_synth_inst/bmg_port=..\..\implement\results\routed.sdf -gui -tclbatch simcmds.tcl
trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_isim.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.bat =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.bat (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.bat (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.do =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.do (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.do (revision 6) @@ -0,0 +1,75 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vcom -work work ../../implement/results/routed.vhd + +echo "Compiling Test Bench Files" + +vcom -work work ../bmg_tb_pkg.vhd +vcom -work work ../random.vhd +vcom -work work ../data_gen.vhd +vcom -work work ../addr_gen.vhd +vcom -work work ../checker.vhd +vcom -work work ../bmg_stim_gen.vhd +vcom -work work ../ram4kx8_synth.vhd +vcom -work work ../ram4kx8_tb.vhd + + vsim -novopt -t ps -L simprim +transport_int_delays -sdftyp /ram4kx8_tb/ram4kx8_synth_inst/bmg_port=../../implement/results/routed.sdf $work.ram4kx8_tb -novopt + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all
trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.sh (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_mti.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_ncsim.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_ncsim.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_ncsim.sh (revision 6) @@ -0,0 +1,78 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- +mkdir work + + +ncvhdl -v93 -work work ../../implement/results/routed.vhd + +echo "Compiling Test Bench Files" + +ncvhdl -v93 -work work ../bmg_tb_pkg.vhd +ncvhdl -v93 -work work ../random.vhd +ncvhdl -v93 -work work ../data_gen.vhd +ncvhdl -v93 -work work ../addr_gen.vhd +ncvhdl -v93 -work work ../checker.vhd +ncvhdl -v93 -work work ../bmg_stim_gen.vhd +ncvhdl -v93 -work work ../ram4kx8_synth.vhd +ncvhdl -v93 -work work ../ram4kx8_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :ram4kx8_synth_inst:BMG_PORT,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + + +echo "Elaborating Design" +ncelab -access +rwc -sdf_cmd_file sdf.cmd $work.ram4kx8_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" $work.ram4kx8_tb
trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_ncsim.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_vcs.sh =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_vcs.sh (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_vcs.sh (revision 6) @@ -0,0 +1,70 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +#!/bin/sh + +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhdlan ../../implement/results/routed.vhd + +echo "Compiling Test Bench Files" +vhdlan ../bmg_tb_pkg.vhd +vhdlan ../random.vhd +vhdlan ../data_gen.vhd +vhdlan ../addr_gen.vhd +vhdlan ../checker.vhd +vhdlan ../bmg_stim_gen.vhd +vhdlan ../ram4kx8_synth.vhd +vhdlan ../ram4kx8_tb.vhd + + +echo "Elaborating Design" +vcs +neg_tchk +vcs+lic+wait -debug ram4kx8_tb + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl
trunk/ipcore_dir/ram4kx8/simulation/timing/simulate_vcs.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/ucli_commands.key =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/ucli_commands.key (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/ucli_commands.key (revision 6) @@ -0,0 +1,4 @@ +dump -file bmg_vcs.vpd -type VPD +dump -add ram4kx8_tb +run +quit
trunk/ipcore_dir/ram4kx8/simulation/timing/ucli_commands.key Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/vcs_session.tcl =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/vcs_session.tcl (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/vcs_session.tcl (revision 6) @@ -0,0 +1,83 @@ + + + + + + + +#-------------------------------------------------------------------------------- +#-- +#-- BMG Generator v8.4 Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- + +if { ![gui_is_db_opened -db {bmg_vcs.vpd}] } { + gui_open_db -design V1 -file bmg_vcs.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create ram4kx8_Group +gui_list_add_group -id Wave.1 {ram4kx8_Group} + + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/status + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/CLKA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ADDRA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DINA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/WEA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ENA + gui_sg_addsignal -group ram4kx8_Group /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DOUTA + +gui_zoom -window Wave.1 -full
trunk/ipcore_dir/ram4kx8/simulation/timing/vcs_session.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/wave_mti.do =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/wave_mti.do (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/wave_mti.do (revision 6) @@ -0,0 +1,36 @@ + + + + + + + + +onerror {resume} +quietly WaveActivateNextPane {} 0 + + + add wave -noupdate /ram4kx8_tb/status + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/CLKA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ADDRA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DINA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/WEA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ENA + add wave -noupdate /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DOUTA +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps}
trunk/ipcore_dir/ram4kx8/simulation/timing/wave_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/timing/wave_ncsim.sv =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/timing/wave_ncsim.sv (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/timing/wave_ncsim.sv (revision 6) @@ -0,0 +1,20 @@ + + + + + + + + +window new WaveWindow -name "Waves for BMG Example Design" +waveform using "Waves for BMG Example Design" + + + waveform add -signals /ram4kx8_tb/status + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/CLKA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ADDRA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DINA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/WEA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/ENA + waveform add -signals /ram4kx8_tb/ram4kx8_synth_inst/bmg_port/DOUTA +console submit -using simulator -wait no "run"
trunk/ipcore_dir/ram4kx8/simulation/timing/wave_ncsim.sv Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/addr_gen.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/addr_gen.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/addr_gen.vhd (revision 6) @@ -0,0 +1,117 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Address Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: addr_gen.vhd +-- +-- Description: +-- Address Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY ADDR_GEN IS + GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; + RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); + RST_INC : INTEGER := 0); + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + LOAD :IN STD_LOGIC; + LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); + ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR + ); +END ADDR_GEN; + +ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS + SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); +BEGIN + ADDR_OUT <= ADDR_TEMP; + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + IF(EN='1') THEN + IF(LOAD='1') THEN + ADDR_TEMP <=LOAD_VALUE; + ELSE + IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + ADDR_TEMP <= ADDR_TEMP + '1'; + END IF; + END IF; + END IF; + END IF; + END IF; + END PROCESS; +END ARCHITECTURE;
trunk/ipcore_dir/ram4kx8/simulation/addr_gen.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/bmg_stim_gen.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/bmg_stim_gen.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/bmg_stim_gen.vhd (revision 6) @@ -0,0 +1,246 @@ + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: bmg_stim_gen.vhd +-- +-- Description: +-- Stimulus Generation For SRAM +-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the +-- simulation ends +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY work; +USE work.ALL; + +USE work.BMG_TB_PKG.ALL; + + +ENTITY REGISTER_LOGIC_SRAM IS + PORT( + Q : OUT STD_LOGIC; + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + D : IN STD_LOGIC + ); +END REGISTER_LOGIC_SRAM; + +ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS + SIGNAL Q_O : STD_LOGIC :='0'; +BEGIN + Q <= Q_O; + FF_BEH: PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST ='1') THEN + Q_O <= '0'; + ELSE + Q_O <= D; + END IF; + END IF; + END PROCESS; +END REGISTER_ARCH; + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + + +ENTITY BMG_STIM_GEN IS + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + ADDRA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + DINA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + + ENA : OUT STD_LOGIC :='0'; + WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); + CHECK_DATA: OUT STD_LOGIC:='0' + ); +END BMG_STIM_GEN; + + +ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS + + CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(8,8); + SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DINA_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DO_WRITE : STD_LOGIC := '0'; + SIGNAL DO_READ : STD_LOGIC := '0'; + SIGNAL COUNT_NO : INTEGER :=0; + SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); +BEGIN + WRITE_ADDR_INT(7 DOWNTO 0) <= WRITE_ADDR(7 DOWNTO 0); + READ_ADDR_INT(7 DOWNTO 0) <= READ_ADDR(7 DOWNTO 0); + ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; + DINA <= DINA_INT ; + + CHECK_DATA <= DO_READ; + +RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN + GENERIC MAP( + C_MAX_DEPTH => 256 + ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_READ, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => READ_ADDR + ); + +WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN + GENERIC MAP( + C_MAX_DEPTH => 256 ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_WRITE, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => WRITE_ADDR + ); + +WR_DATA_GEN_INST:ENTITY work.DATA_GEN + GENERIC MAP ( + DATA_GEN_WIDTH => 8, + DOUT_WIDTH => 8, + DATA_PART_CNT => DATA_PART_CNT_A, + SEED => 2 + ) + PORT MAP ( + CLK => CLK, + RST => RST, + EN => DO_WRITE, + DATA_OUT => DINA_INT + ); + +WR_RD_PROCESS: PROCESS (CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + DO_WRITE <= '0'; + DO_READ <= '0'; + COUNT_NO <= 0 ; + ELSIF(COUNT_NO < 4) THEN + DO_WRITE <= '1'; + DO_READ <= '0'; + COUNT_NO <= COUNT_NO + 1; + ELSIF(COUNT_NO< 8) THEN + DO_WRITE <= '0'; + DO_READ <= '1'; + COUNT_NO <= COUNT_NO + 1; + ELSIF(COUNT_NO=8) THEN + DO_WRITE <= '0'; + DO_READ <= '0'; + COUNT_NO <= 0 ; + END IF; + END IF; +END PROCESS; + +BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE +BEGIN + DFF_RIGHT: IF I=0 GENERATE + BEGIN + SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM + PORT MAP( + Q => DO_READ_REG(0), + CLK => CLK, + RST => RST, + D => DO_READ + ); + END GENERATE DFF_RIGHT; + DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE + BEGIN + SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM + PORT MAP( + Q => DO_READ_REG(I), + CLK => CLK, + RST => RST, + D => DO_READ_REG(I-1) + ); + END GENERATE DFF_OTHERS; +END GENERATE BEGIN_SHIFT_REG; + + ENA <= DO_READ OR DO_WRITE ; + WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; + +END ARCHITECTURE;
trunk/ipcore_dir/ram4kx8/simulation/bmg_stim_gen.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/bmg_tb_pkg.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/bmg_tb_pkg.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/bmg_tb_pkg.vhd (revision 6) @@ -0,0 +1,200 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Testbench Package +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: bmg_tb_pkg.vhd +-- +-- Description: +-- BMG Testbench Package files +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE BMG_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE :STRING) + RETURN STRING; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE :STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER; + +END BMG_TB_PKG; + +PACKAGE BODY BMG_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER IS + VARIABLE DIV : INTEGER; + BEGIN + DIV := DATA_VALUE/DIVISOR; + IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN + DIV := DIV+1; + END IF; + RETURN DIV; + END DIVROUNDUP; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE : STD_LOGIC) + RETURN STD_LOGIC IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER IS + VARIABLE RETVAL : INTEGER := 0; + BEGIN + IF CONDITION=FALSE THEN + RETVAL:=FALSE_CASE; + ELSE + RETVAL:=TRUE_CASE; + END IF; + RETURN RETVAL; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE : STRING) + RETURN STRING IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + ------------------------------- + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER IS + VARIABLE WIDTH : INTEGER := 0; + VARIABLE CNT : INTEGER := 1; + BEGIN + IF (DATA_VALUE <= 1) THEN + WIDTH := 1; + ELSE + WHILE (CNT < DATA_VALUE) LOOP + WIDTH := WIDTH + 1; + CNT := CNT *2; + END LOOP; + END IF; + RETURN WIDTH; + END LOG2ROUNDUP; + +END BMG_TB_PKG;
trunk/ipcore_dir/ram4kx8/simulation/bmg_tb_pkg.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/checker.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/checker.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/checker.vhd (revision 6) @@ -0,0 +1,161 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Checker +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: checker.vhd +-- +-- Description: +-- Checker +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.BMG_TB_PKG.ALL; + +ENTITY CHECKER IS + GENERIC ( WRITE_WIDTH : INTEGER :=32; + READ_WIDTH : INTEGER :=32 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR + STATUS : OUT STD_LOGIC:= '0' + ); +END CHECKER; + +ARCHITECTURE CHECKER_ARCH OF CHECKER IS + SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); + SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); + SIGNAL EN_R : STD_LOGIC := '0'; + SIGNAL EN_2R : STD_LOGIC := '0'; +--DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT +--IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) +--IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) + CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); + CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); + SIGNAL ERR_HOLD : STD_LOGIC :='0'; + SIGNAL ERR_DET : STD_LOGIC :='0'; +BEGIN + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST= '1') THEN + EN_R <= '0'; + EN_2R <= '0'; + DATA_IN_R <= (OTHERS=>'0'); + ELSE + EN_R <= EN; + EN_2R <= EN_R; + DATA_IN_R <= DATA_IN; + END IF; + END IF; + END PROCESS; + + EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN + GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, + DOUT_WIDTH => READ_WIDTH, + DATA_PART_CNT => DATA_PART_CNT, + SEED => 2 + ) + PORT MAP ( + CLK => CLK, + RST => RST, + EN => EN_2R, + DATA_OUT => EXPECTED_DATA + ); + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(EN_2R='1') THEN + IF(EXPECTED_DATA = DATA_IN_R) THEN + ERR_DET<='0'; + ELSE + ERR_DET<= '1'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(CLK,RST) + BEGIN + IF(RST='1') THEN + ERR_HOLD <= '0'; + ELSIF(RISING_EDGE(CLK)) THEN + ERR_HOLD <= ERR_HOLD OR ERR_DET ; + END IF; + END PROCESS; + + STATUS <= ERR_HOLD; + +END ARCHITECTURE; + + +
trunk/ipcore_dir/ram4kx8/simulation/checker.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/data_gen.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/data_gen.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/data_gen.vhd (revision 6) @@ -0,0 +1,140 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Data Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: data_gen.vhd +-- +-- Description: +-- Data Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.BMG_TB_PKG.ALL; + +ENTITY DATA_GEN IS + GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; + DOUT_WIDTH : INTEGER := 32; + DATA_PART_CNT : INTEGER := 1; + SEED : INTEGER := 2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END DATA_GEN; + +ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS + CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); + SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); + SIGNAL LOCAL_CNT : INTEGER :=1; + SIGNAL DATA_GEN_I : STD_LOGIC :='0'; +BEGIN + + LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); + DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); + DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE (CLK)) THEN + IF(EN ='1' AND (DATA_PART_CNT =1)) THEN + LOCAL_CNT <=1; + ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN + IF(LOCAL_CNT = 1) THEN + LOCAL_CNT <= LOCAL_CNT+1; + ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN + LOCAL_CNT <= LOCAL_CNT+1; + ELSE + LOCAL_CNT <= 1; + END IF; + ELSE + LOCAL_CNT <= 1; + END IF; + END IF; + END PROCESS; + + RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + RAND_GEN_INST:ENTITY work.RANDOM + GENERIC MAP( + WIDTH => 8, + SEED => (SEED+N) + ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DATA_GEN_I, + RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) + ); + END GENERATE RAND_GEN; + +END ARCHITECTURE; +
trunk/ipcore_dir/ram4kx8/simulation/data_gen.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_synth.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_synth.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_synth.vhd (revision 6) @@ -0,0 +1,295 @@ + + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Synthesizable Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: ram4kx8_synth.vhd +-- +-- Description: +-- Synthesizable Testbench +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY STD; +USE STD.TEXTIO.ALL; + +--LIBRARY unisim; +--USE unisim.vcomponents.ALL; + +LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + +ENTITY ram4kx8_synth IS +PORT( + CLK_IN : IN STD_LOGIC; + RESET_IN : IN STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA + ); +END ENTITY; + +ARCHITECTURE ram4kx8_synth_ARCH OF ram4kx8_synth IS + + +COMPONENT ram4kx8_exdes + PORT ( + --Inputs - Port A + ENA : IN STD_LOGIC; --opt port + WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + CLKA : IN STD_LOGIC + + + ); + +END COMPONENT; + + + SIGNAL CLKA: STD_LOGIC := '0'; + SIGNAL RSTA: STD_LOGIC := '0'; + SIGNAL ENA: STD_LOGIC := '0'; + SIGNAL ENA_R: STD_LOGIC := '0'; + SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDRA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDRA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DOUTA: STD_LOGIC_VECTOR(7 DOWNTO 0); + SIGNAL CHECKER_EN : STD_LOGIC:='0'; + SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; + SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); + SIGNAL clk_in_i: STD_LOGIC; + + SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; + + SIGNAL ITER_R0 : STD_LOGIC := '0'; + SIGNAL ITER_R1 : STD_LOGIC := '0'; + SIGNAL ITER_R2 : STD_LOGIC := '0'; + + SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + + BEGIN + +-- clk_buf: bufg +-- PORT map( +-- i => CLK_IN, +-- o => clk_in_i +-- ); + clk_in_i <= CLK_IN; + CLKA <= clk_in_i; + + RSTA <= RESET_SYNC_R3 AFTER 50 ns; + + + PROCESS(clk_in_i) + BEGIN + IF(RISING_EDGE(clk_in_i)) THEN + RESET_SYNC_R1 <= RESET_IN; + RESET_SYNC_R2 <= RESET_SYNC_R1; + RESET_SYNC_R3 <= RESET_SYNC_R2; + END IF; + END PROCESS; + + +PROCESS(CLKA) +BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ISSUE_FLAG_STATUS<= (OTHERS => '0'); + ELSE + ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; + END IF; + END IF; +END PROCESS; + +STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; + + + + BMG_DATA_CHECKER_INST: ENTITY work.CHECKER + GENERIC MAP ( + WRITE_WIDTH => 8, + READ_WIDTH => 8 ) + PORT MAP ( + CLK => CLKA, + RST => RSTA, + EN => CHECKER_EN_R, + DATA_IN => DOUTA, + STATUS => ISSUE_FLAG(0) + ); + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RSTA='1') THEN + CHECKER_EN_R <= '0'; + ELSE + CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + + BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN + PORT MAP( + CLK => clk_in_i, + RST => RSTA, + ADDRA => ADDRA, + DINA => DINA, + + ENA => ENA, + WEA => WEA, + CHECK_DATA => CHECKER_EN + ); + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STATUS(8) <= '0'; + iter_r2 <= '0'; + iter_r1 <= '0'; + iter_r0 <= '0'; + ELSE + STATUS(8) <= iter_r2; + iter_r2 <= iter_r1; + iter_r1 <= iter_r0; + iter_r0 <= STIMULUS_FLOW(8); + END IF; + END IF; + END PROCESS; + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STIMULUS_FLOW <= (OTHERS => '0'); + ELSIF(WEA(0)='1') THEN + STIMULUS_FLOW <= STIMULUS_FLOW+1; + END IF; + END IF; + END PROCESS; + + + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ENA_R <= '0' AFTER 50 ns; + WEA_R <= (OTHERS=>'0') AFTER 50 ns; + DINA_R <= (OTHERS=>'0') AFTER 50 ns; + + + ELSE + ENA_R <= ENA AFTER 50 ns; + WEA_R <= WEA AFTER 50 ns; + DINA_R <= DINA AFTER 50 ns; + + END IF; + END IF; + END PROCESS; + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; + ELSE + ADDRA_R <= ADDRA AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + + BMG_PORT: ram4kx8_exdes PORT MAP ( + --Port A + ENA => ENA_R, + WEA => WEA_R, + ADDRA => ADDRA_R, + DINA => DINA_R, + DOUTA => DOUTA, + CLKA => CLKA + + ); +END ARCHITECTURE;
trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_synth.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_tb.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_tb.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_tb.vhd (revision 6) @@ -0,0 +1,134 @@ +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- Filename: ram4kx8_tb.vhd +-- Description: +-- Testbench Top +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY ram4kx8_tb IS +END ENTITY; + + +ARCHITECTURE ram4kx8_tb_ARCH OF ram4kx8_tb IS + SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); + SIGNAL CLK : STD_LOGIC := '1'; + SIGNAL RESET : STD_LOGIC; + + BEGIN + + + CLK_GEN: PROCESS BEGIN + CLK <= NOT CLK; + WAIT FOR 100 NS; + CLK <= NOT CLK; + WAIT FOR 100 NS; + END PROCESS; + + RST_GEN: PROCESS BEGIN + RESET <= '1'; + WAIT FOR 1000 NS; + RESET <= '0'; + WAIT; + END PROCESS; + + +--STOP_SIM: PROCESS BEGIN +-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS +-- ASSERT FALSE +-- REPORT "END SIMULATION TIME REACHED" +-- SEVERITY FAILURE; +--END PROCESS; +-- +PROCESS BEGIN + WAIT UNTIL STATUS(8)='1'; + IF( STATUS(7 downto 0)/="0") THEN + ASSERT false + REPORT "Test Completed Successfully" + SEVERITY NOTE; + REPORT "Simulation Failed" + SEVERITY FAILURE; + ELSE + ASSERT false + REPORT "TEST PASS" + SEVERITY NOTE; + REPORT "Test Completed Successfully" + SEVERITY FAILURE; + END IF; + +END PROCESS; + + ram4kx8_synth_inst:ENTITY work.ram4kx8_synth + PORT MAP( + CLK_IN => CLK, + RESET_IN => RESET, + STATUS => STATUS + ); + +END ARCHITECTURE;
trunk/ipcore_dir/ram4kx8/simulation/ram4kx8_tb.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/simulation/random.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8/simulation/random.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8/simulation/random.vhd (revision 6) @@ -0,0 +1,112 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Random Number Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: random.vhd +-- +-- Description: +-- Random Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + + +ENTITY RANDOM IS + GENERIC ( WIDTH : INTEGER := 32; + SEED : INTEGER :=2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END RANDOM; + +ARCHITECTURE BEHAVIORAL OF RANDOM IS +BEGIN + PROCESS(CLK) + VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + VARIABLE TEMP : STD_LOGIC := '0'; + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + ELSE + IF(EN = '1') THEN + TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); + RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); + RAND_TEMP(0) := TEMP; + END IF; + END IF; + END IF; + RANDOM_NUM <= RAND_TEMP; + END PROCESS; +END ARCHITECTURE;
trunk/ipcore_dir/ram4kx8/simulation/random.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8/blk_mem_gen_v7_3_readme.txt =================================================================== --- trunk/ipcore_dir/ram4kx8/blk_mem_gen_v7_3_readme.txt (nonexistent) +++ trunk/ipcore_dir/ram4kx8/blk_mem_gen_v7_3_readme.txt (revision 6) @@ -0,0 +1,213 @@ + Core name: Xilinx LogiCORE Block Memory Generator + Version: 7.3 Rev 1 + Release: ISE 14.4 / Vivado 2012.4 + Release Date: October 16, 2012 + +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURES HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v7.3 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm + + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + All 7 Series devices + Zynq-7000 devices + +................................................................................ + +3. NEW FEATURES HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + + +................................................................................ + + +4. RESOLVED ISSUES + + +The following issues are resolved in Block Memory Generator v7.3: + + 4.1 ISE + + + 4.2 Vivado + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v7.3 of this core at time of release: + + 1. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3. + + 3. Core does not generate for large memories. Depending on the + machine the ISE CORE Generator software runs on, the maximum size of the memory that + can be generated will vary. For example, a Dual Pentium-4 server + with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes + - CR 415768 + - AR 24034 + + + 5.2 Vivado + + The following are known issues for v7.3 of this core at time of release: + + The most recent information, including known issues, workarounds, and resolutions for + this version is provided in the IP Release Notes User Guide located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/16/2012 Xilinx, Inc. 7.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; +10/16/2012 Xilinx, Inc. 7.3 ISE 14.3 and Vivado 2012.3 support; +07/25/2012 Xilinx, Inc. 7.2 ISE 14.2 and Vivado 2012.2 support; +04/24/2012 Xilinx, Inc. 7.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support +01/18/2011 Xilinx, Inc. 6.3 ISE 13.4 support;Artix7L*, AArtix-7* device support +06/22/2011 Xilinx, Inc. 6.2 ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support; +03/01/2011 Xilinx, Inc. 6.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support +09/21/2010 Xilinx, Inc. 4.3 ISE 12.3 support +07/23/2010 Xilinx, Inc. 4.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 4.1 ISE 12.1 support +03/09/2010 Xilinx, Inc. 3.3 rev 2 Fix for V6 Memory collision issue +12/02/2009 Xilinx, Inc. 3.3 rev 1 ISE 11.4 support; Spartan-6 Low Power + Device support; Automotive Spartan 3A + DSP device support +09/16/2009 Xilinx, Inc. 3.3 Revised to v3.3 +06/24/2009 Xilinx, Inc. 3.2 Revised to v3.2 +04/24/2009 Xilinx, Inc. 3.1 Revised to v3.1 +09/19/2008 Xilinx, Inc. 2.8 Revised to v2.8 +03/24/2008 Xilinx, Inc. 2.7 10.1 support; Revised to v2.7 +10/03/2007 Xilinx, Inc. 2.6 Revised to v2.6 +07/2007 Xilinx, Inc. 2.5 Revised to v2.5 +04/2007 Xilinx, Inc. 2.4 Revised to v2.4 rev 1 +02/2007 Xilinx, Inc. 2.4 Revised to v2.4 +11/2006 Xilinx, Inc. 2.3 Revised to v2.3 +09/2006 Xilinx, Inc. 2.2 Revised to v2.2 +06/2006 Xilinx, Inc. 2.1 Revised to v2.1 +01/2006 Xilinx, Inc. 1.1 Initial release +================================================================================ + +8. Legal Disclaimer + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES.
trunk/ipcore_dir/ram4kx8/blk_mem_gen_v7_3_readme.txt Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/doc/blk_mem_gen_v7_3_vinfo.html =================================================================== --- trunk/ipcore_dir/rom4kx8/doc/blk_mem_gen_v7_3_vinfo.html (nonexistent) +++ trunk/ipcore_dir/rom4kx8/doc/blk_mem_gen_v7_3_vinfo.html (revision 6) @@ -0,0 +1,224 @@ + + +blk_mem_gen_v7_3_vinfo + + + +









    +                Core name: Xilinx LogiCORE Block Memory Generator








    +                Version: 7.3 Rev 1








    +                Release: ISE 14.4 / Vivado 2012.4








    +                Release Date: October 16, 2012








    +








    +--------------------------------------------------------------------------------








    +








    +Table of Contents








    +








    +1. INTRODUCTION








    +2. DEVICE SUPPORT








    +3. NEW FEATURES HISTORY








    +4. RESOLVED ISSUES








    +5. KNOWN ISSUES & LIMITATIONS








    +6. TECHNICAL SUPPORT & FEEDBACK








    +7. CORE RELEASE HISTORY








    +8. LEGAL DISCLAIMER








    +








    +--------------------------------------------------------------------------------








    +








    +








    +1. INTRODUCTION








    +








    +For installation instructions for this release, please go to:








    +








    +  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm








    +








    +For system requirements:








    +








    +   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm








    +








    +This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v7.3








    +solution. For the latest core updates, see the product page at:








    +








    + www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm








    +








    +








    +................................................................................








    +








    +








    +2. DEVICE SUPPORT








    +








    +








    +  2.1 ISE








    +








    +  The following device families are supported by the core for this release.








    +








    +  All 7 Series devices








    +  Zynq-7000 devices








    +  All Virtex-6 devices








    +  All Spartan-6 devices








    +  All Virtex-5 devices








    +  All Spartan-3 devices








    +  All Virtex-4 devices








    +








    +








    +  2.2 Vivado








    +  All 7 Series devices








    +  Zynq-7000 devices








    +








    +................................................................................








    +








    +3. NEW FEATURES HISTORY








    +








    +








    +  3.1 ISE








    +








    +    - ISE 14.4 software support








    +








    +








    +  3.2 Vivado








    +








    +    - 2012.4 software support








    +








    +








    +................................................................................








    +








    +








    +4. RESOLVED ISSUES








    +








    +








    +The following issues are resolved in Block Memory Generator v7.3:








    +








    +  4.1 ISE








    +








    +








    +  4.2 Vivado








    +








    +








    +................................................................................








    +








    +








    +5. KNOWN ISSUES & LIMITATIONS








    +








    +








    +  5.1 ISE








    +








    +    The following are known issues for v7.3 of this core at time of release:








    +








    +    1. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3.








    +








    +    3. Core does not generate for large memories. Depending on the








    +       machine the ISE CORE Generator software runs on, the maximum size of the memory that








    +       can be generated will vary.  For example, a Dual Pentium-4 server








    +       with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes








    +      - CR 415768








    +      - AR 24034








    +








    +








    +  5.2 Vivado








    +








    +    The following are known issues for v7.3 of this core at time of release:








    +








    +  The most recent information, including known issues, workarounds, and resolutions for








    +  this version is provided in the IP Release Notes User Guide located at








    +








    +         www.xilinx.com/support/documentation/user_guides/xtp025.pdf








    +








    +................................................................................








    +








    +








    +6. TECHNICAL SUPPORT & FEEDBACK








    +








    +To obtain technical support, create a WebCase at www.xilinx.com/support.








    +Questions are routed to a team with expertise using this product.








    +








    +Xilinx provides technical support for use of this product when used








    +according to the guidelines described in the core documentation, and








    +cannot guarantee timing, functionality, or support of this product for








    +designs that do not follow specified guidelines.








    +








    +








    +








    +7. CORE RELEASE HISTORY








    +








    +Date        By            Version      Description








    +================================================================================








    +12/16/2012  Xilinx, Inc.  7.3 Rev 1    ISE 14.4 and Vivado 2012.4 support;








    +10/16/2012  Xilinx, Inc.  7.3          ISE 14.3 and Vivado 2012.3 support;








    +07/25/2012  Xilinx, Inc.  7.2          ISE 14.2 and Vivado 2012.2 support;








    +04/24/2012  Xilinx, Inc.  7.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support








    +01/18/2011  Xilinx, Inc.  6.3          ISE 13.4 support;Artix7L*, AArtix-7* device support








    +06/22/2011  Xilinx, Inc.  6.2          ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support;








    +03/01/2011  Xilinx, Inc.  6.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support








    +09/21/2010  Xilinx, Inc.  4.3          ISE 12.3 support








    +07/23/2010  Xilinx, Inc.  4.2          ISE 12.2 support








    +04/19/2010  Xilinx, Inc.  4.1          ISE 12.1 support








    +03/09/2010  Xilinx, Inc.  3.3 rev 2    Fix for V6 Memory collision issue








    +12/02/2009  Xilinx, Inc.  3.3 rev 1    ISE 11.4 support; Spartan-6 Low Power








    +                                       Device support; Automotive Spartan 3A








    +                                       DSP device support








    +09/16/2009  Xilinx, Inc.  3.3          Revised to v3.3








    +06/24/2009  Xilinx, Inc.  3.2          Revised to v3.2








    +04/24/2009  Xilinx, Inc.  3.1          Revised to v3.1








    +09/19/2008  Xilinx, Inc.  2.8          Revised to v2.8








    +03/24/2008  Xilinx, Inc.  2.7          10.1 support; Revised to v2.7








    +10/03/2007  Xilinx, Inc.  2.6          Revised to v2.6








    +07/2007     Xilinx, Inc.  2.5          Revised to v2.5








    +04/2007     Xilinx, Inc.  2.4          Revised to v2.4 rev 1








    +02/2007     Xilinx, Inc.  2.4          Revised to v2.4








    +11/2006     Xilinx, Inc.  2.3          Revised to v2.3








    +09/2006     Xilinx, Inc.  2.2          Revised to v2.2








    +06/2006     Xilinx, Inc.  2.1          Revised to v2.1








    +01/2006     Xilinx, Inc.  1.1          Initial release








    +================================================================================








    +








    +8. Legal Disclaimer








    +








    +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.








    +








    +  This file contains confidential and proprietary information








    +  of Xilinx, Inc. and is protected under U.S. and








    +  international copyright and other intellectual property








    +  laws.








    +








    +  DISCLAIMER








    +  This disclaimer is not a license and does not grant any








    +  rights to the materials distributed herewith. Except as








    +  otherwise provided in a valid license issued to you by








    +  Xilinx, and to the maximum extent permitted by applicable








    +  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND








    +  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES








    +  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING








    +  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-








    +  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and








    +  (2) Xilinx shall not be liable (whether in contract or tort,








    +  including negligence, or under any other theory of








    +  liability) for any loss or damage of any kind or nature








    +  related to, arising under or in connection with these








    +  materials, including for any direct, or any indirect,








    +  special, incidental, or consequential loss or damage








    +  (including loss of data, profits, goodwill, or any type of








    +  loss or damage suffered as a result of any action brought








    +  by a third party) even if such damage or loss was








    +  reasonably foreseeable or Xilinx had been advised of the








    +  possibility of the same.








    +








    +  CRITICAL APPLICATIONS








    +  Xilinx products are not designed or intended to be fail-








    +  safe, or for use in any application requiring fail-safe








    +  performance, such as life-support or safety devices or








    +  systems, Class III medical devices, nuclear facilities,








    +  applications related to the deployment of airbags, or any








    +  other applications that could lead to death, personal








    +  injury, or severe property or environmental damage








    +  (individually and collectively, "Critical








    +  Applications"). Customer assumes the sole risk and








    +  liability of any use of Xilinx products in Critical








    +  Applications, subject only to applicable laws and








    +  regulations governing limitations on product liability.








    +








    +  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS








    +  PART OF THIS FILE AT ALL TIMES.








    +








    +
+ +
trunk/ipcore_dir/rom4kx8/doc/blk_mem_gen_v7_3_vinfo.html Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/doc/pg058-blk-mem-gen.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/ipcore_dir/rom4kx8/doc/pg058-blk-mem-gen.pdf =================================================================== --- trunk/ipcore_dir/rom4kx8/doc/pg058-blk-mem-gen.pdf (nonexistent) +++ trunk/ipcore_dir/rom4kx8/doc/pg058-blk-mem-gen.pdf (revision 6)
trunk/ipcore_dir/rom4kx8/doc/pg058-blk-mem-gen.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.ucf =================================================================== --- trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.ucf (nonexistent) +++ trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.ucf (revision 6) @@ -0,0 +1,57 @@ +################################################################################ +# +# (c) Copyright 2002 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Tx Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +NET "CLKA" TNM_NET = "CLKA"; + +TIMESPEC "TS_CLKA" = PERIOD "CLKA" 25 MHZ; + +################################################################################
trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.ucf Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.vhd (revision 6) @@ -0,0 +1,151 @@ + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7.1 Core - Top-level core wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: rom4kx8_exdes.vhd +-- +-- Description: +-- This is the actual BMG core wrapper. +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: August 31, 2005 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY UNISIM; +USE UNISIM.VCOMPONENTS.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY rom4kx8_exdes IS + PORT ( + --Inputs - Port A + ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + CLKA : IN STD_LOGIC + + + ); + +END rom4kx8_exdes; + + +ARCHITECTURE xilinx OF rom4kx8_exdes IS + + COMPONENT BUFG IS + PORT ( + I : IN STD_ULOGIC; + O : OUT STD_ULOGIC + ); + END COMPONENT; + + COMPONENT rom4kx8 IS + PORT ( + --Port A + ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + CLKA : IN STD_LOGIC + + + + ); + END COMPONENT; + + SIGNAL CLKA_buf : STD_LOGIC; + SIGNAL CLKB_buf : STD_LOGIC; + SIGNAL S_ACLK_buf : STD_LOGIC; + +BEGIN + + bufg_A : BUFG + PORT MAP ( + I => CLKA, + O => CLKA_buf + ); + + + + bmg0 : rom4kx8 + PORT MAP ( + --Port A + ADDRA => ADDRA, + + DOUTA => DOUTA, + + CLKA => CLKA_buf + + + ); + +END xilinx;
trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.xdc =================================================================== --- trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.xdc (nonexistent) +++ trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.xdc (revision 6) @@ -0,0 +1,54 @@ +################################################################################ +# +# (c) Copyright 2002 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +create_clock -name "TS_CLKA" -period 20.0 [ get_ports CLKA ] +################################################################################
trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_exdes.xdc Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_prod.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_prod.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_prod.vhd (revision 6) @@ -0,0 +1,260 @@ + + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7.1 Core - Top-level wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-------------------------------------------------------------------------------- +-- +-- Filename: rom4kx8_prod.vhd +-- +-- Description: +-- This is the top-level BMG wrapper (over BMG core). +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: August 31, 2005 - First Release +-------------------------------------------------------------------------------- +-- +-- Configured Core Parameter Values: +-- (Refer to the SIM Parameters table in the datasheet for more information on +-- the these parameters.) +-- C_FAMILY : spartan3a +-- C_XDEVICEFAMILY : spartan3a +-- C_INTERFACE_TYPE : 0 +-- C_ENABLE_32BIT_ADDRESS : 0 +-- C_AXI_TYPE : 1 +-- C_AXI_SLAVE_TYPE : 0 +-- C_AXI_ID_WIDTH : 4 +-- C_MEM_TYPE : 3 +-- C_BYTE_SIZE : 9 +-- C_ALGORITHM : 0 +-- C_PRIM_TYPE : 2 +-- C_LOAD_INIT_FILE : 0 +-- C_INIT_FILE_NAME : no_coe_file_loaded +-- C_USE_DEFAULT_DATA : 1 +-- C_DEFAULT_DATA : 76 +-- C_RST_TYPE : SYNC +-- C_HAS_RSTA : 0 +-- C_RST_PRIORITY_A : CE +-- C_RSTRAM_A : 0 +-- C_INITA_VAL : 0 +-- C_HAS_ENA : 0 +-- C_HAS_REGCEA : 0 +-- C_USE_BYTE_WEA : 0 +-- C_WEA_WIDTH : 1 +-- C_WRITE_MODE_A : WRITE_FIRST +-- C_WRITE_WIDTH_A : 8 +-- C_READ_WIDTH_A : 8 +-- C_WRITE_DEPTH_A : 4096 +-- C_READ_DEPTH_A : 4096 +-- C_ADDRA_WIDTH : 12 +-- C_HAS_RSTB : 0 +-- C_RST_PRIORITY_B : CE +-- C_RSTRAM_B : 0 +-- C_INITB_VAL : 0 +-- C_HAS_ENB : 0 +-- C_HAS_REGCEB : 0 +-- C_USE_BYTE_WEB : 0 +-- C_WEB_WIDTH : 1 +-- C_WRITE_MODE_B : WRITE_FIRST +-- C_WRITE_WIDTH_B : 8 +-- C_READ_WIDTH_B : 8 +-- C_WRITE_DEPTH_B : 4096 +-- C_READ_DEPTH_B : 4096 +-- C_ADDRB_WIDTH : 12 +-- C_HAS_MEM_OUTPUT_REGS_A : 0 +-- C_HAS_MEM_OUTPUT_REGS_B : 0 +-- C_HAS_MUX_OUTPUT_REGS_A : 0 +-- C_HAS_MUX_OUTPUT_REGS_B : 0 +-- C_HAS_SOFTECC_INPUT_REGS_A : 0 +-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 +-- C_MUX_PIPELINE_STAGES : 0 +-- C_USE_ECC : 0 +-- C_USE_SOFTECC : 0 +-- C_HAS_INJECTERR : 0 +-- C_SIM_COLLISION_CHECK : ALL +-- C_COMMON_CLK : 0 +-- C_DISABLE_WARN_BHV_COLL : 0 +-- C_DISABLE_WARN_BHV_RANGE : 0 + +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY UNISIM; +USE UNISIM.VCOMPONENTS.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY rom4kx8_prod IS + PORT ( + --Port A + CLKA : IN STD_LOGIC; + RSTA : IN STD_LOGIC; --opt port + ENA : IN STD_LOGIC; --optional port + REGCEA : IN STD_LOGIC; --optional port + WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + --Port B + CLKB : IN STD_LOGIC; + RSTB : IN STD_LOGIC; --opt port + ENB : IN STD_LOGIC; --optional port + REGCEB : IN STD_LOGIC; --optional port + WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + --ECC + INJECTSBITERR : IN STD_LOGIC; --optional port + INJECTDBITERR : IN STD_LOGIC; --optional port + SBITERR : OUT STD_LOGIC; --optional port + DBITERR : OUT STD_LOGIC; --optional port + RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --optional port + -- AXI BMG Input and Output Port Declarations + + -- AXI Global Signals + S_ACLK : IN STD_LOGIC; + S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_AWVALID : IN STD_LOGIC; + S_AXI_AWREADY : OUT STD_LOGIC; + S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + S_AXI_WLAST : IN STD_LOGIC; + S_AXI_WVALID : IN STD_LOGIC; + S_AXI_WREADY : OUT STD_LOGIC; + S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); + S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_BVALID : OUT STD_LOGIC; + S_AXI_BREADY : IN STD_LOGIC; + + -- AXI Full/Lite Slave Read (Write side) + S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_ARVALID : IN STD_LOGIC; + S_AXI_ARREADY : OUT STD_LOGIC; + S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); + S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_RLAST : OUT STD_LOGIC; + S_AXI_RVALID : OUT STD_LOGIC; + S_AXI_RREADY : IN STD_LOGIC; + + -- AXI Full/Lite Sideband Signals + S_AXI_INJECTSBITERR : IN STD_LOGIC; + S_AXI_INJECTDBITERR : IN STD_LOGIC; + S_AXI_SBITERR : OUT STD_LOGIC; + S_AXI_DBITERR : OUT STD_LOGIC; + S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); + S_ARESETN : IN STD_LOGIC + + + ); + +END rom4kx8_prod; + + +ARCHITECTURE xilinx OF rom4kx8_prod IS + + COMPONENT rom4kx8_exdes IS + PORT ( + --Port A + ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + + CLKA : IN STD_LOGIC + + + + + ); + END COMPONENT; + +BEGIN + + bmg0 : rom4kx8_exdes + PORT MAP ( + --Port A + ADDRA => ADDRA, + + DOUTA => DOUTA, + + CLKA => CLKA + + + + ); +END xilinx;
trunk/ipcore_dir/rom4kx8/example_design/rom4kx8_prod.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/implement.bat =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/implement.bat (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/implement.bat (revision 6) @@ -0,0 +1,48 @@ + + + + + + + + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy rom4kx8_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\rom4kx8.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\rom4kx8_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc3s200a-vq100-4 rom4kx8_exdes + +echo 'Running map' +map rom4kx8_exdes -o mapped.ncd -pr i + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level VHDL model' +netgen -ofmt vhdl -sim -tm rom4kx8_exdes -pcf mapped.pcf -w routed.ncd routed.vhd
trunk/ipcore_dir/rom4kx8/implement/implement.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/implement.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/implement.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/implement.sh (revision 6) @@ -0,0 +1,48 @@ + + + + + + + + +#!/bin/sh + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp rom4kx8_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../rom4kx8.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/rom4kx8_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc3s200a-vq100-4 rom4kx8_exdes + +echo 'Running map' +map rom4kx8_exdes -o mapped.ncd -pr i + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level VHDL model' +netgen -ofmt vhdl -sim -tm rom4kx8_exdes -pcf mapped.pcf -w routed.ncd routed.vhd
trunk/ipcore_dir/rom4kx8/implement/implement.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.bat =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.bat (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.bat (revision 6) @@ -0,0 +1,55 @@ +#!/bin/sh +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\rom4kx8.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl
trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.sh (revision 6) @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../rom4kx8.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl
trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.tcl =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.tcl (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.tcl (revision 6) @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc3s200avq100-4 +set projName rom4kx8 +set design rom4kx8 +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module rom4kx8_exdes +add_files -norecurse {../../example_design/rom4kx8_exdes.vhd} +add_files -norecurse {./rom4kx8.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/rom4kx8_exdes.xdc} +set_property top rom4kx8_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module rom4kx8_exdes -file routed.sdf +write_vhdl -mode sim routed.vhd +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow}
trunk/ipcore_dir/rom4kx8/implement/planAhead_ise.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/xst.prj =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/xst.prj (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/xst.prj (revision 6) @@ -0,0 +1 @@ +work ../example_design/rom4kx8_exdes.vhd
trunk/ipcore_dir/rom4kx8/implement/xst.prj Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/implement/xst.scr =================================================================== --- trunk/ipcore_dir/rom4kx8/implement/xst.scr (nonexistent) +++ trunk/ipcore_dir/rom4kx8/implement/xst.scr (revision 6) @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent rom4kx8_exdes +-p xc3s200a-vq100-4 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn rom4kx8_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain
trunk/ipcore_dir/rom4kx8/implement/xst.scr Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simcmds.tcl =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simcmds.tcl (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simcmds.tcl (revision 6) @@ -0,0 +1,60 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + + + + + + +wcfg new +isim set radix hex +wave add /rom4kx8_tb/status + wave add /rom4kx8_tb/rom4kx8_synth_inst/BMG_PORT/CLKA + wave add /rom4kx8_tb/rom4kx8_synth_inst/BMG_PORT/ADDRA + wave add /rom4kx8_tb/rom4kx8_synth_inst/BMG_PORT/DOUTA +run all +quit
trunk/ipcore_dir/rom4kx8/simulation/functional/simcmds.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_isim.bat =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_isim.bat (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_isim.bat (revision 6) @@ -0,0 +1,65 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. +::-------------------------------------------------------------------------------- + + + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhpcomp -work work ..\..\..\rom4kx8.vhd +vhpcomp -work work ..\..\example_design\rom4kx8_exdes.vhd + +echo "Compiling Test Bench Files" + +vhpcomp -work work ..\bmg_tb_pkg.vhd +vhpcomp -work work ..\addr_gen.vhd +vhpcomp -work work ..\bmg_stim_gen.vhd +vhpcomp -work work ..\rom4kx8_synth.vhd +vhpcomp -work work ..\rom4kx8_tb.vhd + +fuse work.rom4kx8_tb -L unisims -L xilinxcorelib -o rom4kx8_tb.exe + + +.\rom4kx8_tb.exe -gui -tclbatch simcmds.tcl
trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_isim.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.bat =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.bat (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.bat (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.do =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.do (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.do (revision 6) @@ -0,0 +1,71 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + vlib work +vmap work work + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vcom -work work ../../../rom4kx8.vhd \ + ../../example_design/rom4kx8_exdes.vhd + +echo "Compiling Test Bench Files" + +vcom -work work ../bmg_tb_pkg.vhd +vcom -work work ../addr_gen.vhd +vcom -work work ../bmg_stim_gen.vhd +vcom -work work ../rom4kx8_synth.vhd +vcom -work work ../rom4kx8_tb.vhd + +vsim -novopt -t ps -L XilinxCoreLib -L unisim work.rom4kx8_tb + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all
trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.sh (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_mti.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_ncsim.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_ncsim.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_ncsim.sh (revision 6) @@ -0,0 +1,67 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + + +mkdir work +echo "Compiling Core VHDL UNISIM/Behavioral model" +ncvhdl -v93 -work work ../../../rom4kx8.vhd \ + ../../example_design/rom4kx8_exdes.vhd + +echo "Compiling Test Bench Files" + +ncvhdl -v93 -work work ../bmg_tb_pkg.vhd +ncvhdl -v93 -work work ../addr_gen.vhd +ncvhdl -v93 -work work ../bmg_stim_gen.vhd +ncvhdl -v93 -work work ../rom4kx8_synth.vhd +ncvhdl -v93 -work work ../rom4kx8_tb.vhd + +echo "Elaborating Design" +ncelab -access +rwc work.rom4kx8_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.rom4kx8_tb
trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_ncsim.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_vcs.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_vcs.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_vcs.sh (revision 6) @@ -0,0 +1,66 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +#!/bin/sh +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhdlan ../../../rom4kx8.vhd +vhdlan ../../example_design/rom4kx8_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../bmg_tb_pkg.vhd +vhdlan ../addr_gen.vhd +vhdlan ../bmg_stim_gen.vhd +vhdlan ../rom4kx8_synth.vhd +vhdlan ../rom4kx8_tb.vhd + +echo "Elaborating Design" +vcs +vcs+lic+wait -debug rom4kx8_tb + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl
trunk/ipcore_dir/rom4kx8/simulation/functional/simulate_vcs.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/ucli_commands.key =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/ucli_commands.key (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/ucli_commands.key (revision 6) @@ -0,0 +1,4 @@ +dump -file bmg_vcs.vpd -type VPD +dump -add rom4kx8_tb +run +quit
trunk/ipcore_dir/rom4kx8/simulation/functional/ucli_commands.key Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/vcs_session.tcl =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/vcs_session.tcl (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/vcs_session.tcl (revision 6) @@ -0,0 +1,80 @@ + + + + + + + + +#-------------------------------------------------------------------------------- +#-- +#-- BMG core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {bmg_vcs.vpd}] } { + gui_open_db -design V1 -file bmg_vcs.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create rom4kx8_Group +gui_list_add_group -id Wave.1 {rom4kx8_Group} + + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/status + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/CLKA + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/ADDRA + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/DOUTA + +gui_zoom -window Wave.1 -full
trunk/ipcore_dir/rom4kx8/simulation/functional/vcs_session.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/wave_mti.do =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/wave_mti.do (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/wave_mti.do (revision 6) @@ -0,0 +1,33 @@ + + + + + + + + +onerror {resume} +quietly WaveActivateNextPane {} 0 + + add wave -noupdate /rom4kx8_tb/status + add wave -noupdate /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/CLKA + add wave -noupdate /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/ADDRA + add wave -noupdate /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/DOUTA + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps}
trunk/ipcore_dir/rom4kx8/simulation/functional/wave_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/functional/wave_ncsim.sv =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/functional/wave_ncsim.sv (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/functional/wave_ncsim.sv (revision 6) @@ -0,0 +1,18 @@ + + + + + + + + + +window new WaveWindow -name "Waves for BMG Example Design" +waveform using "Waves for BMG Example Design" + + waveform add -signals /rom4kx8_tb/status + waveform add -signals /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/CLKA + waveform add -signals /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/ADDRA + waveform add -signals /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/DOUTA + +console submit -using simulator -wait no "run"
trunk/ipcore_dir/rom4kx8/simulation/functional/wave_ncsim.sv Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simcmds.tcl =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simcmds.tcl (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simcmds.tcl (revision 6) @@ -0,0 +1,60 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + + + + + + +wcfg new +isim set radix hex +wave add /rom4kx8_tb/status + wave add /rom4kx8_tb/rom4kx8_synth_inst/BMG_PORT/CLKA + wave add /rom4kx8_tb/rom4kx8_synth_inst/BMG_PORT/ADDRA + wave add /rom4kx8_tb/rom4kx8_synth_inst/BMG_PORT/DOUTA +run all +quit
trunk/ipcore_dir/rom4kx8/simulation/timing/simcmds.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_isim.bat =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_isim.bat (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_isim.bat (revision 6) @@ -0,0 +1,64 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. +::-------------------------------------------------------------------------------- + + + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhpcomp -work work ..\..\implement\results\routed.vhd + +echo "Compiling Test Bench Files" + +vhpcomp -work work ..\bmg_tb_pkg.vhd +vhpcomp -work work ..\addr_gen.vhd +vhpcomp -work work ..\bmg_stim_gen.vhd +vhpcomp -work work ..\rom4kx8_synth.vhd +vhpcomp -work work ..\rom4kx8_tb.vhd + + + fuse -L simprim work.rom4kx8_tb -o rom4kx8_tb.exe + +.\rom4kx8_tb.exe -sdftyp /rom4kx8_tb/rom4kx8_synth_inst/bmg_port=..\..\implement\results\routed.sdf -gui -tclbatch simcmds.tcl
trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_isim.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.bat =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.bat (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.bat (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.bat Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.do =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.do (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.do (revision 6) @@ -0,0 +1,72 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vcom -work work ../../implement/results/routed.vhd + +echo "Compiling Test Bench Files" + +vcom -work work ../bmg_tb_pkg.vhd +vcom -work work ../addr_gen.vhd +vcom -work work ../bmg_stim_gen.vhd +vcom -work work ../rom4kx8_synth.vhd +vcom -work work ../rom4kx8_tb.vhd + + vsim -novopt -t ps -L simprim +transport_int_delays -sdftyp /rom4kx8_tb/rom4kx8_synth_inst/bmg_port=../../implement/results/routed.sdf $work.rom4kx8_tb -novopt + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all
trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.sh (revision 6) @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do
trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_mti.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_ncsim.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_ncsim.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_ncsim.sh (revision 6) @@ -0,0 +1,75 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- +mkdir work + + +ncvhdl -v93 -work work ../../implement/results/routed.vhd + +echo "Compiling Test Bench Files" + +ncvhdl -v93 -work work ../bmg_tb_pkg.vhd +ncvhdl -v93 -work work ../addr_gen.vhd +ncvhdl -v93 -work work ../bmg_stim_gen.vhd +ncvhdl -v93 -work work ../rom4kx8_synth.vhd +ncvhdl -v93 -work work ../rom4kx8_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :rom4kx8_synth_inst:BMG_PORT,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + + +echo "Elaborating Design" +ncelab -access +rwc -sdf_cmd_file sdf.cmd $work.rom4kx8_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" $work.rom4kx8_tb
trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_ncsim.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_vcs.sh =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_vcs.sh (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_vcs.sh (revision 6) @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +#!/bin/sh + +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core VHDL UNISIM/Behavioral model" +vhdlan ../../implement/results/routed.vhd + +echo "Compiling Test Bench Files" +vhdlan ../bmg_tb_pkg.vhd +vhdlan ../addr_gen.vhd +vhdlan ../bmg_stim_gen.vhd +vhdlan ../rom4kx8_synth.vhd +vhdlan ../rom4kx8_tb.vhd + + +echo "Elaborating Design" +vcs +neg_tchk +vcs+lic+wait -debug rom4kx8_tb + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl
trunk/ipcore_dir/rom4kx8/simulation/timing/simulate_vcs.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/ucli_commands.key =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/ucli_commands.key (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/ucli_commands.key (revision 6) @@ -0,0 +1,4 @@ +dump -file bmg_vcs.vpd -type VPD +dump -add rom4kx8_tb +run +quit
trunk/ipcore_dir/rom4kx8/simulation/timing/ucli_commands.key Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/vcs_session.tcl =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/vcs_session.tcl (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/vcs_session.tcl (revision 6) @@ -0,0 +1,80 @@ + + + + + + + +#-------------------------------------------------------------------------------- +#-- +#-- BMG Generator v8.4 Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- + +if { ![gui_is_db_opened -db {bmg_vcs.vpd}] } { + gui_open_db -design V1 -file bmg_vcs.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create rom4kx8_Group +gui_list_add_group -id Wave.1 {rom4kx8_Group} + + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/status + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/CLKA + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/ADDRA + gui_sg_addsignal -group rom4kx8_Group /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/DOUTA + +gui_zoom -window Wave.1 -full
trunk/ipcore_dir/rom4kx8/simulation/timing/vcs_session.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/wave_mti.do =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/wave_mti.do (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/wave_mti.do (revision 6) @@ -0,0 +1,33 @@ + + + + + + + + +onerror {resume} +quietly WaveActivateNextPane {} 0 + + + add wave -noupdate /rom4kx8_tb/status + add wave -noupdate /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/CLKA + add wave -noupdate /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/ADDRA + add wave -noupdate /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/DOUTA +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps}
trunk/ipcore_dir/rom4kx8/simulation/timing/wave_mti.do Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/timing/wave_ncsim.sv =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/timing/wave_ncsim.sv (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/timing/wave_ncsim.sv (revision 6) @@ -0,0 +1,17 @@ + + + + + + + + +window new WaveWindow -name "Waves for BMG Example Design" +waveform using "Waves for BMG Example Design" + + + waveform add -signals /rom4kx8_tb/status + waveform add -signals /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/CLKA + waveform add -signals /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/ADDRA + waveform add -signals /rom4kx8_tb/rom4kx8_synth_inst/bmg_port/DOUTA +console submit -using simulator -wait no "run"
trunk/ipcore_dir/rom4kx8/simulation/timing/wave_ncsim.sv Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/addr_gen.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/addr_gen.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/addr_gen.vhd (revision 6) @@ -0,0 +1,117 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Address Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: addr_gen.vhd +-- +-- Description: +-- Address Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY ADDR_GEN IS + GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; + RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); + RST_INC : INTEGER := 0); + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + LOAD :IN STD_LOGIC; + LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); + ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR + ); +END ADDR_GEN; + +ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS + SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); +BEGIN + ADDR_OUT <= ADDR_TEMP; + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + IF(EN='1') THEN + IF(LOAD='1') THEN + ADDR_TEMP <=LOAD_VALUE; + ELSE + IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + ADDR_TEMP <= ADDR_TEMP + '1'; + END IF; + END IF; + END IF; + END IF; + END IF; + END PROCESS; +END ARCHITECTURE;
trunk/ipcore_dir/rom4kx8/simulation/addr_gen.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/bmg_stim_gen.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/bmg_stim_gen.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/bmg_stim_gen.vhd (revision 6) @@ -0,0 +1,426 @@ + + + -------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port ROM +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: bmg_stim_gen.vhd +-- +-- Description: +-- Stimulus Generation For SROM +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + + LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + + +ENTITY REGISTER_LOGIC_SROM IS + PORT( + Q : OUT STD_LOGIC; + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + D : IN STD_LOGIC + ); +END REGISTER_LOGIC_SROM; + +ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SROM IS + SIGNAL Q_O : STD_LOGIC :='0'; +BEGIN + Q <= Q_O; +FF_BEH: PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST /= '0' ) THEN + Q_O <= '0'; + ELSE + Q_O <= D; + END IF; + END IF; + END PROCESS; +END REGISTER_ARCH; + +LIBRARY STD; +USE STD.TEXTIO.ALL; + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +--USE IEEE.NUMERIC_STD.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + + LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + + +ENTITY BMG_STIM_GEN IS + GENERIC ( C_ROM_SYNTH : INTEGER := 0 + ); + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + ADDRA: OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); + DATA_IN : IN STD_LOGIC_VECTOR (7 DOWNTO 0); --OUTPUT VECTOR + STATUS : OUT STD_LOGIC:= '0' + ); +END BMG_STIM_GEN; + + +ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS + + FUNCTION hex_to_std_logic_vector( + hex_str : STRING; + return_width : INTEGER) + RETURN STD_LOGIC_VECTOR IS + VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 + DOWNTO 0); + + BEGIN + tmp := (OTHERS => '0'); + FOR i IN 1 TO hex_str'LENGTH LOOP + CASE hex_str((hex_str'LENGTH+1)-i) IS + WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; + WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; + WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; + WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; + WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; + WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; + WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; + WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; + WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; + WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; + WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; + WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; + WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; + WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; + WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; + WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; + WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; + END CASE; + END LOOP; + RETURN tmp(return_width-1 DOWNTO 0); + END hex_to_std_logic_vector; + +CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); +SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL CHECK_READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); +SIGNAL DO_READ : STD_LOGIC := '0'; +SIGNAL CHECK_DATA : STD_LOGIC := '0'; +SIGNAL CHECK_DATA_R : STD_LOGIC := '0'; +SIGNAL CHECK_DATA_2R : STD_LOGIC := '0'; +SIGNAL DO_READ_REG: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); +CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(7 DOWNTO 0):= hex_to_std_logic_vector("76",8); + +BEGIN + + +SYNTH_COE: IF(C_ROM_SYNTH =0 ) GENERATE + +type mem_type is array (4095 downto 0) of std_logic_vector(7 downto 0); + + FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS + VARIABLE temp_return : STD_LOGIC; + BEGIN + IF (input = '0') THEN + temp_return := '0'; + ELSE + temp_return := '1'; + END IF; + RETURN temp_return; + END bit_to_sl; + + function char_to_std_logic ( + char : in character) + return std_logic is + + variable data : std_logic; + + begin + if char = '0' then + data := '0'; + + elsif char = '1' then + data := '1'; + + elsif char = 'X' then + data := 'X'; + + else + assert false + report "character which is not '0', '1' or 'X'." + severity warning; + + data := 'U'; + end if; + + return data; + + end char_to_std_logic; + +impure FUNCTION init_memory( C_USE_DEFAULT_DATA : INTEGER; + C_LOAD_INIT_FILE : INTEGER ; + C_INIT_FILE_NAME : STRING ; + DEFAULT_DATA : STD_LOGIC_VECTOR(7 DOWNTO 0); + width : INTEGER; + depth : INTEGER) + RETURN mem_type IS + VARIABLE init_return : mem_type := (OTHERS => (OTHERS => '0')); + FILE init_file : TEXT; + VARIABLE mem_vector : BIT_VECTOR(width-1 DOWNTO 0); + VARIABLE bitline : LINE; + variable bitsgood : boolean := true; + variable bitchar : character; + VARIABLE i : INTEGER; + VARIABLE j : INTEGER; + BEGIN + + --Display output message indicating that the behavioral model is being + --initialized + ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator CORE Generator module loading initial data..." SEVERITY NOTE; + + -- Setup the default data + -- Default data is with respect to write_port_A and may be wider + -- or narrower than init_return width. The following loops map + -- default data into the memory + IF (C_USE_DEFAULT_DATA=1) THEN + FOR i IN 0 TO depth-1 LOOP + init_return(i) := DEFAULT_DATA; + END LOOP; + END IF; + + -- Read in the .mif file + -- The init data is formatted with respect to write port A dimensions. + -- The init_return vector is formatted with respect to minimum width and + -- maximum depth; the following loops map the .mif file into the memory + IF (C_LOAD_INIT_FILE=1) THEN + file_open(init_file, C_INIT_FILE_NAME, read_mode); + i := 0; + WHILE (i < depth AND NOT endfile(init_file)) LOOP + mem_vector := (OTHERS => '0'); + readline(init_file, bitline); +-- read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); + + FOR j IN 0 TO width-1 LOOP + read(bitline,bitchar,bitsgood); + init_return(i)(width-1-j) := char_to_std_logic(bitchar); + END LOOP; + i := i + 1; + END LOOP; + file_close(init_file); + END IF; + RETURN init_return; + + END FUNCTION; + + + --*************************************************************** + -- convert bit to STD_LOGIC + --*************************************************************** + +constant c_init : mem_type := init_memory(1, + 0, + "no_coe_file_loaded", + DEFAULT_DATA, + 8, + 4096); + + +constant rom : mem_type := c_init; +BEGIN + + EXPECTED_DATA <= rom(conv_integer(unsigned(check_read_addr))); + + CHECKER_RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN + GENERIC MAP( C_MAX_DEPTH =>4096 ) + + PORT MAP( + CLK => CLK, + RST => RST, + EN => CHECK_DATA_2R, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => CHECK_READ_ADDR + ); + + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(CHECK_DATA_2R ='1') THEN + IF(EXPECTED_DATA = DATA_IN) THEN + STATUS<='0'; + ELSE + STATUS <= '1'; + END IF; + END IF; + END IF; + END PROCESS; +END GENERATE; +-- Simulatable ROM + +--Synthesizable ROM +SYNTH_CHECKER: IF(C_ROM_SYNTH = 1) GENERATE + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(CHECK_DATA_2R='1') THEN + IF(DATA_IN=DEFAULT_DATA) THEN + STATUS <= '0'; + ELSE + STATUS <= '1'; + END IF; + END IF; + END IF; + END PROCESS; + +END GENERATE; + + + READ_ADDR_INT(11 DOWNTO 0) <= READ_ADDR(11 DOWNTO 0); + ADDRA <= READ_ADDR_INT ; + + CHECK_DATA <= DO_READ; + + + + + RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN + GENERIC MAP( C_MAX_DEPTH => 4096 ) + + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_READ, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => READ_ADDR + ); + +RD_PROCESS: PROCESS (CLK) + BEGIN + IF (RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + DO_READ <= '0'; + ELSE + DO_READ <= '1'; + END IF; + END IF; +END PROCESS; + + BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE + BEGIN + DFF_RIGHT: IF I=0 GENERATE + BEGIN + SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SROM + PORT MAP( + Q => DO_READ_REG(0), + CLK =>CLK, + RST=>RST, + D =>DO_READ + ); + END GENERATE DFF_RIGHT; + DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE + BEGIN + SHIFT_INST: ENTITY work.REGISTER_LOGIC_SROM + PORT MAP( + Q => DO_READ_REG(I), + CLK =>CLK, + RST=>RST, + D =>DO_READ_REG(I-1) + ); + END GENERATE DFF_OTHERS; + END GENERATE BEGIN_SHIFT_REG; + + +CHECK_DATA_REG_1: ENTITY work.REGISTER_LOGIC_SROM + PORT MAP( + Q => CHECK_DATA_2R, + CLK =>CLK, + RST=>RST, + D =>CHECK_DATA_R + ); + +CHECK_DATA_REG: ENTITY work.REGISTER_LOGIC_SROM + PORT MAP( + Q => CHECK_DATA_R, + CLK =>CLK, + RST=>RST, + D =>CHECK_DATA + ); + + + + + + +END ARCHITECTURE; + +
trunk/ipcore_dir/rom4kx8/simulation/bmg_stim_gen.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/bmg_tb_pkg.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/bmg_tb_pkg.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/bmg_tb_pkg.vhd (revision 6) @@ -0,0 +1,200 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Testbench Package +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: bmg_tb_pkg.vhd +-- +-- Description: +-- BMG Testbench Package files +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE BMG_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE :STRING) + RETURN STRING; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE :STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER; + +END BMG_TB_PKG; + +PACKAGE BODY BMG_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER IS + VARIABLE DIV : INTEGER; + BEGIN + DIV := DATA_VALUE/DIVISOR; + IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN + DIV := DIV+1; + END IF; + RETURN DIV; + END DIVROUNDUP; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE : STD_LOGIC) + RETURN STD_LOGIC IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER IS + VARIABLE RETVAL : INTEGER := 0; + BEGIN + IF CONDITION=FALSE THEN + RETVAL:=FALSE_CASE; + ELSE + RETVAL:=TRUE_CASE; + END IF; + RETURN RETVAL; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE : STRING) + RETURN STRING IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + ------------------------------- + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER IS + VARIABLE WIDTH : INTEGER := 0; + VARIABLE CNT : INTEGER := 1; + BEGIN + IF (DATA_VALUE <= 1) THEN + WIDTH := 1; + ELSE + WHILE (CNT < DATA_VALUE) LOOP + WIDTH := WIDTH + 1; + CNT := CNT *2; + END LOOP; + END IF; + RETURN WIDTH; + END LOG2ROUNDUP; + +END BMG_TB_PKG;
trunk/ipcore_dir/rom4kx8/simulation/bmg_tb_pkg.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/random.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/random.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/random.vhd (revision 6) @@ -0,0 +1,112 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Random Number Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: random.vhd +-- +-- Description: +-- Random Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + + +ENTITY RANDOM IS + GENERIC ( WIDTH : INTEGER := 32; + SEED : INTEGER :=2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END RANDOM; + +ARCHITECTURE BEHAVIORAL OF RANDOM IS +BEGIN + PROCESS(CLK) + VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + VARIABLE TEMP : STD_LOGIC := '0'; + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + ELSE + IF(EN = '1') THEN + TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); + RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); + RAND_TEMP(0) := TEMP; + END IF; + END IF; + END IF; + RANDOM_NUM <= RAND_TEMP; + END PROCESS; +END ARCHITECTURE;
trunk/ipcore_dir/rom4kx8/simulation/random.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_synth.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_synth.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_synth.vhd (revision 6) @@ -0,0 +1,255 @@ + + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Synthesizable Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: rom4kx8_synth.vhd +-- +-- Description: +-- Synthesizable Testbench +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY STD; +USE STD.TEXTIO.ALL; + +--LIBRARY unisim; +--USE unisim.vcomponents.ALL; + +LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + +ENTITY rom4kx8_synth IS +GENERIC ( + C_ROM_SYNTH : INTEGER := 1 + ); +PORT( + CLK_IN : IN STD_LOGIC; + RESET_IN : IN STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA + ); +END ENTITY; + +ARCHITECTURE rom4kx8_synth_ARCH OF rom4kx8_synth IS + + +COMPONENT rom4kx8_exdes + PORT ( + --Inputs - Port A + ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + CLKA : IN STD_LOGIC + + + ); + +END COMPONENT; + + + SIGNAL CLKA: STD_LOGIC := '0'; + SIGNAL RSTA: STD_LOGIC := '0'; + SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DOUTA: STD_LOGIC_VECTOR(7 DOWNTO 0); + SIGNAL CHECKER_EN : STD_LOGIC:='0'; + SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; + SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); + SIGNAL clk_in_i: STD_LOGIC; + + SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; + + SIGNAL ITER_R0 : STD_LOGIC := '0'; + SIGNAL ITER_R1 : STD_LOGIC := '0'; + SIGNAL ITER_R2 : STD_LOGIC := '0'; + + SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + + BEGIN + +-- clk_buf: bufg +-- PORT map( +-- i => CLK_IN, +-- o => clk_in_i +-- ); + clk_in_i <= CLK_IN; + CLKA <= clk_in_i; + + RSTA <= RESET_SYNC_R3 AFTER 50 ns; + + + PROCESS(clk_in_i) + BEGIN + IF(RISING_EDGE(clk_in_i)) THEN + RESET_SYNC_R1 <= RESET_IN; + RESET_SYNC_R2 <= RESET_SYNC_R1; + RESET_SYNC_R3 <= RESET_SYNC_R2; + END IF; + END PROCESS; + + +PROCESS(CLKA) +BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ISSUE_FLAG_STATUS<= (OTHERS => '0'); + ELSE + ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; + END IF; + END IF; +END PROCESS; + +STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; + + + + + + BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN + GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH + ) + + PORT MAP( + CLK => clk_in_i, + RST => RSTA, + ADDRA => ADDRA, + DATA_IN => DOUTA, + STATUS => ISSUE_FLAG(0) + ); + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STATUS(8) <= '0'; + iter_r2 <= '0'; + iter_r1 <= '0'; + iter_r0 <= '0'; + ELSE + STATUS(8) <= iter_r2; + iter_r2 <= iter_r1; + iter_r1 <= iter_r0; + iter_r0 <= STIMULUS_FLOW(8); + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STIMULUS_FLOW <= (OTHERS => '0'); + ELSIF(ADDRA(0)='1') THEN + STIMULUS_FLOW <= STIMULUS_FLOW+1; + END IF; + END IF; + END PROCESS; + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + + + ELSE + + END IF; + END IF; + END PROCESS; + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; + ELSE + ADDRA_R <= ADDRA AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + + BMG_PORT: rom4kx8_exdes PORT MAP ( + --Port A + ADDRA => ADDRA_R, + DOUTA => DOUTA, + CLKA => CLKA + + ); +END ARCHITECTURE;
trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_synth.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_tb.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_tb.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_tb.vhd (revision 6) @@ -0,0 +1,135 @@ +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- Filename: rom4kx8_tb.vhd +-- Description: +-- Testbench Top +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY rom4kx8_tb IS +END ENTITY; + + +ARCHITECTURE rom4kx8_tb_ARCH OF rom4kx8_tb IS + SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); + SIGNAL CLK : STD_LOGIC := '1'; + SIGNAL RESET : STD_LOGIC; + + BEGIN + + + CLK_GEN: PROCESS BEGIN + CLK <= NOT CLK; + WAIT FOR 100 NS; + CLK <= NOT CLK; + WAIT FOR 100 NS; + END PROCESS; + + RST_GEN: PROCESS BEGIN + RESET <= '1'; + WAIT FOR 1000 NS; + RESET <= '0'; + WAIT; + END PROCESS; + + +--STOP_SIM: PROCESS BEGIN +-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS +-- ASSERT FALSE +-- REPORT "END SIMULATION TIME REACHED" +-- SEVERITY FAILURE; +--END PROCESS; +-- +PROCESS BEGIN + WAIT UNTIL STATUS(8)='1'; + IF( STATUS(7 downto 0)/="0") THEN + ASSERT false + REPORT "Test Completed Successfully" + SEVERITY NOTE; + REPORT "Simulation Failed" + SEVERITY FAILURE; + ELSE + ASSERT false + REPORT "TEST PASS" + SEVERITY NOTE; + REPORT "Test Completed Successfully" + SEVERITY FAILURE; + END IF; + +END PROCESS; + + rom4kx8_synth_inst:ENTITY work.rom4kx8_synth + GENERIC MAP (C_ROM_SYNTH => 0) + PORT MAP( + CLK_IN => CLK, + RESET_IN => RESET, + STATUS => STATUS + ); + +END ARCHITECTURE;
trunk/ipcore_dir/rom4kx8/simulation/rom4kx8_tb.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8/blk_mem_gen_v7_3_readme.txt =================================================================== --- trunk/ipcore_dir/rom4kx8/blk_mem_gen_v7_3_readme.txt (nonexistent) +++ trunk/ipcore_dir/rom4kx8/blk_mem_gen_v7_3_readme.txt (revision 6) @@ -0,0 +1,213 @@ + Core name: Xilinx LogiCORE Block Memory Generator + Version: 7.3 Rev 1 + Release: ISE 14.4 / Vivado 2012.4 + Release Date: October 16, 2012 + +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURES HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v7.3 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm + + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + All 7 Series devices + Zynq-7000 devices + +................................................................................ + +3. NEW FEATURES HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + + +................................................................................ + + +4. RESOLVED ISSUES + + +The following issues are resolved in Block Memory Generator v7.3: + + 4.1 ISE + + + 4.2 Vivado + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v7.3 of this core at time of release: + + 1. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3. + + 3. Core does not generate for large memories. Depending on the + machine the ISE CORE Generator software runs on, the maximum size of the memory that + can be generated will vary. For example, a Dual Pentium-4 server + with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes + - CR 415768 + - AR 24034 + + + 5.2 Vivado + + The following are known issues for v7.3 of this core at time of release: + + The most recent information, including known issues, workarounds, and resolutions for + this version is provided in the IP Release Notes User Guide located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/16/2012 Xilinx, Inc. 7.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; +10/16/2012 Xilinx, Inc. 7.3 ISE 14.3 and Vivado 2012.3 support; +07/25/2012 Xilinx, Inc. 7.2 ISE 14.2 and Vivado 2012.2 support; +04/24/2012 Xilinx, Inc. 7.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support +01/18/2011 Xilinx, Inc. 6.3 ISE 13.4 support;Artix7L*, AArtix-7* device support +06/22/2011 Xilinx, Inc. 6.2 ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support; +03/01/2011 Xilinx, Inc. 6.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support +09/21/2010 Xilinx, Inc. 4.3 ISE 12.3 support +07/23/2010 Xilinx, Inc. 4.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 4.1 ISE 12.1 support +03/09/2010 Xilinx, Inc. 3.3 rev 2 Fix for V6 Memory collision issue +12/02/2009 Xilinx, Inc. 3.3 rev 1 ISE 11.4 support; Spartan-6 Low Power + Device support; Automotive Spartan 3A + DSP device support +09/16/2009 Xilinx, Inc. 3.3 Revised to v3.3 +06/24/2009 Xilinx, Inc. 3.2 Revised to v3.2 +04/24/2009 Xilinx, Inc. 3.1 Revised to v3.1 +09/19/2008 Xilinx, Inc. 2.8 Revised to v2.8 +03/24/2008 Xilinx, Inc. 2.7 10.1 support; Revised to v2.7 +10/03/2007 Xilinx, Inc. 2.6 Revised to v2.6 +07/2007 Xilinx, Inc. 2.5 Revised to v2.5 +04/2007 Xilinx, Inc. 2.4 Revised to v2.4 rev 1 +02/2007 Xilinx, Inc. 2.4 Revised to v2.4 +11/2006 Xilinx, Inc. 2.3 Revised to v2.3 +09/2006 Xilinx, Inc. 2.2 Revised to v2.2 +06/2006 Xilinx, Inc. 2.1 Revised to v2.1 +01/2006 Xilinx, Inc. 1.1 Initial release +================================================================================ + +8. Legal Disclaimer + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES.
trunk/ipcore_dir/rom4kx8/blk_mem_gen_v7_3_readme.txt Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs =================================================================== --- trunk/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs (nonexistent) +++ trunk/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs (revision 6) @@ -0,0 +1,15 @@ + + + + + + + + + + +Parsing VHDL file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/ipcore_dir/ram4kx8.vhd" into library work + + + +
trunk/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/tmp/_xmsgs/xst.xmsgs =================================================================== --- trunk/ipcore_dir/tmp/_xmsgs/xst.xmsgs (nonexistent) +++ trunk/ipcore_dir/tmp/_xmsgs/xst.xmsgs (revision 6) @@ -0,0 +1,409 @@ + + + +Message file "usenglish/ip.msg" wasn't found. + + +0: (0,0) : 72x256 u:8 + + +0: (0,0) : 72x256 u:8 + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns FALSE. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns FALSE. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 978: Range is empty (null range) + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 978: Assignment ignored + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 979: Range is empty (null range) + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 979: Assignment ignored + + +$Id: get_init_bmg_v7_3.c,v 1.3 2011/07/25 06:20:41 Exp $ + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_s3a_init.vhd" Line 743: Range is empty (null range) + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_s3a_init.vhd" Line 743: Assignment ignored + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_wrapper_s3a_init.vhd" Line 428: Net <doutb_i[71]> does not have a driver. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 430: Net <dina_pad[71]> does not have a driver. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_prim_width.vhd" Line 434: Net <dinb_pad[71]> does not have a driver. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" Line 1546: Comparison between arrays of unequal length always returns FALSE. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" Line 1559: Comparison between arrays of unequal length always returns FALSE. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <doutb> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_bid> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_bresp> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rid> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rdata> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rresp> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rdaddrecc> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <sbiterr> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <dbiterr> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_awready> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_wready> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_bvalid> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_arready> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rlast> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_rvalid> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_sbiterr> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\ram4kx8.vhd" line 153: Output port <s_axi_dbiterr> of the instance <U0> is unconnected or connected to loadless signal. + + +Input <S_AXI_AWID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_AWADDR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_AWLEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_AWSIZE> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_AWBURST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_WDATA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_WSTRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_ARID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_ARADDR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_ARLEN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_ARSIZE> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_ARBURST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AClk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_ARESETN> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_AWVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_WLAST> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_WVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_BREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_ARVALID> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_RREADY> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <S_AXI_INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal 'S_AXI_BID', unconnected in block 'blk_mem_gen_v7_3_xst', is tied to its initial value (0000). + + +Signal <S_AXI_BRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal 'S_AXI_RID', unconnected in block 'blk_mem_gen_v7_3_xst', is tied to its initial value (0000). + + +Signal <S_AXI_RDATA> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_RRESP> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_AWREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_WREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_BVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_ARREADY> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_RLAST> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_RVALID> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <S_AXI_DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Input <WEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <ADDRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <DINB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <RSTA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <RSTB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <ENB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal <INJECTDBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <INJECTSBITERR_I> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" line 1342: Output port <SBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal. + + +"C:\Users\zoltanp\Documents\HexCalc\Sys9080\ipcore_dir\tmp\_cg\_dbg\blk_mem_gen_v7_3\blk_mem_gen_generic_cstr.vhd" line 1342: Output port <DBITERR> of the instance <ramloop[0].ram.r> is unconnected or connected to loadless signal. + + +Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Input <INJECTSBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <INJECTDBITERR> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal 'dina_pad<71:64>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<62:55>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<53:46>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<44:37>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<35:28>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<26:19>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<17:10>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dina_pad<8:1>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<71:64>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<62:55>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<53:46>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<44:37>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<35:28>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<26:19>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<17:10>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal 'dinb_pad<8:1>', unconnected in block 'blk_mem_gen_prim_width', is tied to its initial value (00000000). + + +Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Input <WEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <ADDRB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <DINB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <REGCEA> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <ENB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <REGCEB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal 'doutb_i', unconnected in block 'blk_mem_gen_prim_wrapper_s3a_init', is tied to its initial value (000000000000000000000000000000000000000000000000000000000000000000000000). + + +Input <DOUTB_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <RDADDRECC_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <CLKB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <SBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <DBITERR_I> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal <RDADDRECC> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <SBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <DBITERR> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + + +You have chosen to run a version of XST which is not the default solution +for the specified device family. You are free to use it in order to take +advantage of its enhanced HDL parsing/elaboration capabilities. However, +please be aware that you may be impacted by language support differences. +This version may also result in circuit performance and device utilization +differences for your particular design. You can always revert back to the +default XST solution by setting the "use_new_parser" option to value "no" +on the XST command line or in the XST process properties panel. + + + + Index: trunk/ipcore_dir/tmp/ram4kx8.lso =================================================================== --- trunk/ipcore_dir/tmp/ram4kx8.lso (nonexistent) +++ trunk/ipcore_dir/tmp/ram4kx8.lso (revision 6) @@ -0,0 +1 @@ +work
trunk/ipcore_dir/tmp/ram4kx8.lso Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/tmp/rom4kx8.lso =================================================================== --- trunk/ipcore_dir/tmp/rom4kx8.lso (nonexistent) +++ trunk/ipcore_dir/tmp/rom4kx8.lso (revision 6) @@ -0,0 +1 @@ +work
trunk/ipcore_dir/tmp/rom4kx8.lso Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/coregen.cgp =================================================================== --- trunk/ipcore_dir/coregen.cgp (nonexistent) +++ trunk/ipcore_dir/coregen.cgp (revision 6) @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = VHDL +SET device = xc3s200a +SET devicefamily = spartan3a +SET flowvendor = Other +SET package = vq100 +SET speedgrade = -4 +SET verilogsim = false +SET vhdlsim = true
trunk/ipcore_dir/coregen.cgp Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/create_ram4kx8.tcl =================================================================== --- trunk/ipcore_dir/create_ram4kx8.tcl (nonexistent) +++ trunk/ipcore_dir/create_ram4kx8.tcl (revision 6) @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:blk_mem_gen:7.3" "ram4kx8" "Block Memory Generator" "Block Memory Generator (xilinx.com:ip:blk_mem_gen:7.3) generated by Project Navigator" xc3s200a-4vq100 VHDL ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result
trunk/ipcore_dir/create_ram4kx8.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/create_rom4kx8.tcl =================================================================== --- trunk/ipcore_dir/create_rom4kx8.tcl (nonexistent) +++ trunk/ipcore_dir/create_rom4kx8.tcl (revision 6) @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:blk_mem_gen:7.3" "rom4kx8" "Block Memory Generator" "Block Memory Generator (xilinx.com:ip:blk_mem_gen:7.3) generated by Project Navigator" xc3s200a-4vq100 VHDL ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result
trunk/ipcore_dir/create_rom4kx8.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/edit_ram4kx8.tcl =================================================================== --- trunk/ipcore_dir/edit_ram4kx8.tcl (nonexistent) +++ trunk/ipcore_dir/edit_ram4kx8.tcl (revision 6) @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "ram4kx8" xc3s200a-4vq100 VHDL ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result
trunk/ipcore_dir/edit_ram4kx8.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/edit_rom4kx8.tcl =================================================================== --- trunk/ipcore_dir/edit_rom4kx8.tcl (nonexistent) +++ trunk/ipcore_dir/edit_rom4kx8.tcl (revision 6) @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "rom4kx8" xc3s200a-4vq100 VHDL ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result
trunk/ipcore_dir/edit_rom4kx8.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.asy =================================================================== --- trunk/ipcore_dir/ram4kx8.asy (nonexistent) +++ trunk/ipcore_dir/ram4kx8.asy (revision 6) @@ -0,0 +1,29 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 ram4kx8 +RECTANGLE Normal 32 32 544 1376 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[7:0] +PINATTR Polarity IN +LINE Wide 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName dina[7:0] +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName ena +PINATTR Polarity IN +LINE Wide 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wea[0:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName douta[7:0] +PINATTR Polarity OUT + Index: trunk/ipcore_dir/ram4kx8.gise =================================================================== --- trunk/ipcore_dir/ram4kx8.gise (nonexistent) +++ trunk/ipcore_dir/ram4kx8.gise (revision 6) @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + +
trunk/ipcore_dir/ram4kx8.gise Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.ncf =================================================================== Index: trunk/ipcore_dir/ram4kx8.ncf =================================================================== --- trunk/ipcore_dir/ram4kx8.ncf (nonexistent) +++ trunk/ipcore_dir/ram4kx8.ncf (revision 6)
trunk/ipcore_dir/ram4kx8.ncf Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.ngc =================================================================== --- trunk/ipcore_dir/ram4kx8.ngc (nonexistent) +++ trunk/ipcore_dir/ram4kx8.ngc (revision 6) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0;b44<,[o}e~g`n;"2*73>(-80!<74012345678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;8=5?1133557799;;==??1133557799;;==??1133557799;;==??1133557799;;==?>4:37>LHW]]0JHI\N<0194;7338>1EC^ZT;CG@WD;9:0;2<>41;KMTPR=lk7<7>113906?OIX\^1HDO33083:44<;;0BB][[:EKA867=87;87><5OTVSQQ112906?IR\Y__6IAM<2394;b<;z8;44;KMTPR=_LK7?7>11197>LHW]]0\IO2<:1<26>2=G\^[YY4KOQC?7?699;1?6B[[PTV9@JVE4:0;2<<48;KMTPR=imnym1950?32?=89B@ATF48;556OKDSC?578e3HNO^L2>3;2==>GCL[K7=>07;@FGVD:6611JHI\N<3<;?DBCZH68255NDEPB818?3HNO^L2:>99B@ATF4?437LJKR@>4:==FLMXJ0507;@FGVD:>611JHI\M<1<:?DBCZK6:<374AEFQF976601JHI\M<00=f>GCL[H7=>4?>89B@ATE489546OKDS@?5;>GCL[H79364AEFQF90902KOH_L37?:8EABUJ52546OKDS@?=;50OAE?6:AOO4>7>2IGG?9?5:AOO7^?3JF@>U?7049@HN?7<2IGGL;4CMIB52=DDBK:H?94CMIB5A203JF@MEKCM;>7NBDDWa8GIMC^VNBZDJJ5:AOOC^?3JF@JU?7089@KHKN\]OO=95LOSG\C@HBZH^BCCQFNGM4?FTBI]OOo6JNT@]TMAROWHi0HLZN_VKGPMYE>2NBM1>17:FJE9776>1OEL2>1?58@LG;9;4<7IGN<01=3>BNI5;?2:5KI@>21;169GMD:617<0HDO31?58@LG;:94<7IGN<33=3>BNI5892:5KI@>17;1908;EKB8739?2NBM1<9>69GMD:5?7=0HDO329<4?AOF4;35:6JFA=0=3>BNI59;245KI@>05?69?2NBM1=>>79GMD:46?1OEL2;>79GMD:26?1OEL29>79GMD:06?1OEL27>79GMD:>6?1OEO2?>69GMG:687=0HDL310<4?AOE4885;6JFB=30:2=CAK6:8394DH@?50803MCI0<817:FJF9706>1OEO2>8?58@LD;904=7IGM<0<4?AOE4;:5;6JFB=02:2=CAK69>394DH@?66803MCI0?:17:FJF9426>1OEO2=6?58@LD;:>4<7IGM<3:=3>BNJ5822;5KIC>1:2=CAK68<374DH@?74<76>1OEO2<1?48@LD;;7<0HDL34?48@LD;=7<0HDL36?48@LD;?7<0HDL38?48@LD;17=0HD^N<1<4?AOWI5;5;6JFP@>1:<=CAYK7?7>17:FJTD:46>1OE]L30?58@LVE484<7IG_B=0==>BNXK686=08;EKSF959>2NDM1>17:FLE9776>1OCL2>1?58@JG;9;4<7IAN<01=3>BHI5;?2:5KO@>21;169GKD:617<0HBO31?58@JG;:94<7IAN<33=3>BHI5892:5KO@>17;1908;EMB8739?2NDM1<9>69GKD:5?7=0HBO329<4?AIF4;35:6J@A=0=3>BHI59;245KO@>05?69?2NDM1=>>79GKD:46?1OCL2;>79GKD:26?1OCL29>79GKD:06?1OCL27>79GKD:>6?1OCO2?>69GKG:687=0HBL310<4?AIE4885;6J@B=30:2=CGK6:8394DN@?50803MEI0<817:FLF9706>1OCO2>8?58@JD;904=7IAM<0<4?AIE4;:5;6J@B=02:2=CGK69>394DN@?66803MEI0?:17:FLF9426>1OCO2=6?58@JD;:>4<7IAM<3:=3>BHJ5822;5KOC>1:2=CGK68<374DN@?74<76>1OCO2<1?48@JD;;7<0HBL34?48@JD;=7<0HBL36?48@JD;?7<0HBL38?48@JD;17=0HB^N<1<4?AIWI5;5;6J@P@>1:<=CGYK7?7>17:FLTD:46>1OC]L30?58@JVE484<7IA_B=0==>BHXK686=08;EMSF959;2OEM>5JNC38C6=@FM20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40>98:KMMQVX8>=0ECG[_124?LHN\V::;6GAIU]362=NF@^T<>94IOKW[5203@DBXR>:7:KMMQY7>>1BBDZP0658MKOSW92<7D@FT^2:3>OIA]U;M:5FNHV\4G194IOKW[4203@DBXR?:7:KMMQY6>>1BBDZP1658MKOSW82<7D@FT^3:3>OIA]U:M:5FNHV\5G1E69JJLRX9O=0ECG[_324?LHN\V8:;6GAIU]162=NF@^T>>94IOKW[7203@DBXR<:7:KMMQY5>>1BBDZP2658MKOSW;2<7D@FT^0:3>OIA]U9M:5FNHV\6G194IOKW[6203@DBXR=:7:KMMQY4>>1BBDZP3658MKOSW:2<7D@FT^1:3>OIA]U8M:5FNHV\7G1M8;HLJPZ5C?2CEEYQ;RJQABYBP]XJOYQAGBg8WMTBOVGBBR]FZD30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O84SUCWQV2<\PZN:45Z0.zppZdkcVgnaRijndpbpjt(ogke{kmmf,meqoqmVhggRcjm^efj*pfd`n%o~z}/scnhjiwS9W%~lc!r.q0eZoia}$ym`!|pscn*wgjk2_XI_QYIRKAH@5<^JI27[GJW^VZT@0<_LK7<384WDC?5;0<_LK7>364WDC?7?69>2]NM1=16:UFF969>2]NN1?16:UFF94902]NN1=50?48S@D;;7h0[_G[E^DJVVRd3^XBXHQBOEG\Ef=PZ@^NS@AKE^@2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG3>^T\V\HOo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy=4Ydq;?dbczh6;255ndepb848?3hno~l2=>99b`atf4:437ljkr`>7:==flmxj0807;`fgvd:16h1jhi|n<683:==flmxj0:0>8:`ooZkbeVmnbRijndpjgZet|{;=7obd_lgn[bciWyxbaRyfduj15>dkcVgnaRijn^rqmhYpzhz{iQ|3`]jjlra3kf`S`kb_fgm[qiw991i`fQbel]dakYq?V99o6lck^ofiZabfV|"/Xhnjj}&DG[O+Kh`jr`vlv%73&>;?7obd_lgn[bciW=T?Rv|t018fimXelgTec~zt^`okfm6<2hggRcjm^mvpussWkfdof:4cmib3>bnfh6;2:5kioc?5;169gmkg;=7=0hd`n<7<:?aoii5=1<394dhlb828?3me~xl2?>99gkprf48437iazt`>1:==cg|~j0>07;emvpd:3611ocxzn<4<;?air|h6=2l5kotvb82<7611ocxzn<6<0?`hf02dloo{fle08jj1'oRowi^qoescX|pzn1="l_`zj[qwm4;'oRlvtd]pmc:1%iTobcboo]`hn;7$jUoikozlu]geqg:NO&hSig|acnf[rgufVhczRm`lm?3(fYcazki`hQxasl\fmpXzhdli0>#c^goegiui}cdbRjfr#c^kmmqYaaeo6NaoakRvqmka+kVceeyQiimg\jdkb5geTobkPfhnf[iiflln'oRgaiuc\rdj:8%iTecg{b^tbh86+kVcexh|iabg\p|vb59&hSaand^kmmqYaaeo6,b]qadbXllzdRo2244/gZtbimUoi}zg_c?113*dW{ojhRyfduj\e8>+kVxnmiQxievk[g;?$jUyyQrhmqmqXi4IN nQ}su]svliua}sTn0MJ,b]qwqYsqyo6_T@L,b]qwqtfeVk6tfe=as4!lck^ofiZabfV|"t}99tad:7294=7zkn<1<~DEv69o1KLu?65;D90?7|[=i1=;l51539565d1mh1>n:m6zl22<<63g;=m784$044>4053tY?m7?9b;375?74;j3on732a:`g<5k=i:7^:n:0;0>5<6;:i2ho4=c5a7?a7>=3:1=7?tS5a953d=9=;1=>=l9e`96f2ek2|_=9o50;395?7csZ>h6<8m:062>454k0ni6?m;bb9'502=9:20Z<87:3yv56?=92:?l4?;|&20751c80>4b|@8?97)?:0;3:1>\4=3>p;7?<:069504?03->i6<79;%37<6<8l;h3:=?6=,8>?6<77;o377?6<3`;<97>5$067>4133g;??7>4;h347?6=,8>?6<9;;o377?7<3`;<>7>5$067>4133g;??7<4;h345?6=,8>?6<9;;o377?5<3`;<<7>5$067>4133g;??7:4;h35b?6=,8>?6<9;;o377?3<3`;=i7>5$067>4133g;??784;h35`?6=,8>?6<9;;o377?1<3`;347>5$067>4>03g;??7>4;h3;2?6=,8>?6<68;o377?7<3`;397>5$067>4>03g;??7<4;h3;0?6=,8>?6<68;o377?5<3`;3?7>5$067>4>03g;??7:4;h3;6?6=,8>?6<68;o377?3<3`;3=7>5$067>4>03g;??784;h3;4?6=,8>?6<68;o377?1<3`;5;h3:6?6=3f;2=7>5$067>4?73g;??7>4;n3;b?6=,8>?6<7?;o377?7<3f;3i7>5$067>4?73g;??7<4;n3;`?6=,8>?6<7?;o377?5<3f;3o7>5$067>4?73g;??7:4;n3;f?6=,8>?6<7?;o377?3<3f;3m7>5$067>4?73g;??784;n3;=?6=,8>?6<7?;o377?1<3k;=97>51;294~"6=90:9>5G1718L4353f;?<7>5;|`221<7280;6=u+1429ad=O9?90D<;=;ng:>5<=7>5b`83>5}#9<:1=984H040?M72:2P897mt5;49`?c=980:>7659;d9550b9k5259'ag<43-oh6>5+ee80?!cb2:1/ik4<;%d3>6=#n8087)h=:29'b6<33-l?695+f487?!`12=1/j:4;;%d;>1=#n00?7)hn:59'bg<33-lh695+fe87?!`b2=1/jk4;;%334?2<,8::695+11090>"68:0?7)??4;68 4622=1/==854:&242<33-;;47:4$02:>1=#99k186*>0c87?!77k3>0(<>k:59'55c=<2.:"69:0?7)?>4;68 4722=1/=<854:&252<33-;:47:4$03:>1=#98k186*>1c87?!76k3>0("6::0?7)?=4;68 4422=1/=?854:&262<33-;947:4$00:>1=#9;k186*>2c87?!75k3>0(<k4;;%304?2<,89:695+12090>"6;:097)?<4;08 452289n7)?;9;17?!73j380(<:l:39'536=9:07e?l5c2900e9<50;9ja2<722cn47>5;h37`?6=3`;?i7>5;h3a>5<#9=>1=l5a15194>=n900;6)?;4;3b?k73;3;07d?7:18'512=9h1e=9=52:9j52<72-;?87?n;o377?5<3`8?6=4+15695d=i9=91865f2283>!73<3;j7c?;3;78?l45290/=9:51`9m515=>21b><4?:%370?7f3g;??794;h03>5<#9=>1=l5a1519<>=n9o0;6)?;4;3b?k73;3307d?j:18'512=9h1e=9=5a:9j5a<72-;?87?n;o377?d<3`;h6=4+15695d=i9=91o65f1783>!73<3;j7c?;3;f8?l4f290/=9:5289m515=821b>54?:%370?4>3g;??7?4;h04>5<#9=>1>45a15196>=n:?0;6)?;4;0:?k73;3907d=<:18'512=:01e=9=54:9j77<72-;?87<6;o377?3<3`9:6=4+15696<=i9=91:65f3183>!73<3827c?;3;58?l4a290/=9:5289m515=021b>h4?:%370?4>3g;??774;h0g>5<#9=>1>45a1519e>=n:j0;6)?;4;0:?k73;3h07d!73<3<<7c?;3;38?l03290/=9:5669m515=:21b:>4?:%370?003g;??7=4;h41>5<#9=>1::5a15190>=n>80;6)?;4;44?k73;3?07d8?:18'512=>>1e=9=56:9j1c<72-;?8788;o377?1<3`?n6=4+156922=i9=91465f5e83>!73<3<<7c?;3;;8?l3d290/=9:5669m515=i21b9o4?:%370?003g;??7l4;h7:>5<#9=>1::5a1519g>=n=10;6)?;4;44?k73;3n07d;8:18'512=>>1e=9=5e:9j13<72-;?8788;o377?`<3`?>6=4+156922=i9=91==54i4694?"6<=0=;6`>42825>=n=:0;6)?;4;44?k73;3;976g:2;29 4232?=0b<:<:018?l36290/=9:5669m515=9=10e8>50;&201<1?2d:8>4>5:9j2c<72-;?8788;o377?7132c=i7>5$067>3186<94;h4g>5<#9=>1::5a15195==45853>h6<:0:565f6c83>!73<3<<7c?;3;3b?>o1i3:1(<:;:758j42428h07d86:18'512=>>1e=9=51b98m33=83.:89497:l206<6l21b9l4?:%370?003g;??7?j;:k7b?6=,8>?6;94n060>4`<3`226=4+1569<==i9=91<65f8683>!73<3237c?;3;38?l>2290/=9:5899m515=:21b494?:%370?>?3g;??7=4;h:0>5<#9=>1455a15190>=n0;0;6)?;4;:;?k73;3?07d6>:18'512=011e=9=56:9j<5<72-;?8767;o377?1<3`=m6=4+1569<==i9=91465f7d83>!73<3237c?;3;;8?l1c290/=9:5899m515=i21b;n4?:%370?>?3g;??7l4;h5b>5<#9=>1455a1519g>=n?00;6)?;4;:;?k73;3n07d97:18'512=011e=9=5e:9j32<72-;?8767;o377?`<3`==6=4+1569<==i9=91==54i6794?"6<=0346`>42825>=n?=0;6)?;4;:;?k73;3;976g83;29 4232120b<:<:018?l15290/=9:5899m515=9=10e:?50;&2014>5:9j=5<72-;?8767;o377?7132c3j7>5$067>=>86<94;h:f>5<#9=>1455a15195==458;<>h6<:0:565f8b83>!73<3237c?;3;3b?>o?j3:1(<:;:9:8j42428h07d6n:18'512=011e=9=51b98m=0=83.:89478:l206<6l21b;o4?:%370?>?3g;??7?j;:k44?6=,8>?6564n060>4`<3`3>6=4+1569=1=i9=91<65f9283>!73<33?7c?;3;38?l?5290/=9:5959m515=:21b5<4?:%370??33g;??7=4;h;b>5<#9=>1545a15194>=n110;6)?;4;;:?k73;3;07d78:18'512=101e=9=52:9j=3<72-;?8776;o377?5<3`;>57>5$067>43?3g;??7>4;h363?6=,8>?6<;7;o377?7<3`;>:7>5$067>43?3g;??7<4;h361?6=,8>?6<;7;o377?5<3`;>i7>5$067>43c3g;??7>4;h36g?6=,8>?6<;k;o377?7<3`;>n7>5$067>43c3g;??7<4;h36e?6=,8>?6<;k;o377?5<3fh?6=4+1569f6=i9=91<65`b383>!73<3h87c?;3;38?jd7290/=9:5b29m515=:21dmk4?:%370?d43g;??7=4;ncf>5<#9=>1n>5a15190>=him0;6)?;4;`0?k73;3?07bol:18'512=j:1e=9=56:9leg<72-;?87l<;o377?1<3fkj6=4+1569f6=i9=91465`a883>!73<3h87c?;3;;8?jg?290/=9:5b29m515=i21dm:4?:%370?d43g;??7l4;nc6>5<#9=>1n>5a1519g>=hi=0;6)?;4;`0?k73;3n07bo<:18'512=j:1e=9=5e:9le7<72-;?87l<;o377?`<3fk:6=4+1569f6=i9=91==54o`294?"6<=0i?6`>42825>=h1o0;6)?;4;`0?k73;3;976a6e;29 4232k90b<:<:018?j?c290/=9:5b29m515=9=10c4m50;&2014>5:9lfg<72-;?87l<;o377?7132eim7>5$067>g586<94;n`:>5<#9=>1n>5a15195==458a7>h6<:0:565`b683>!73<3h87c?;3;3b?>ie>3:1(<:;:c18j42428h07bl::18'512=j:1e=9=51b98kg7=83.:894m3:l206<6l21dm;4?:%370?d43g;??7?j;:m:f?6=,8>?6o=4n060>4`<3fn>6=4+1569`1=i9=91<65`d283>!73<3n?7c?;3;38?jb6290/=9:5d59m515=:21dh=4?:%370?b33g;??7=4;nae>5<#9=>1h95a15190>=hkl0;6)?;4;f7?k73;3?07bmk:18'512=l=1e=9=56:9lgf<72-;?87j;;o377?1<3fii6=4+1569`1=i9=91465`c`83>!73<3n?7c?;3;;8?je>290/=9:5d59m515=i21do54?:%370?b33g;??7l4;na5>5<#9=>1h95a1519g>=hk<0;6)?;4;f7?k73;3n07bm;:18'512=l=1e=9=5e:9lg6<72-;?87j;;o377?`<3fi96=4+1569`1=i9=91==54ob394?"6<=0o86`>42825>=hk90;6)?;4;f7?k73;3;976amf;29 4232m>0b<:<:018?jdb290/=9:5d59m515=9=10coj50;&2014>5:9l`f<72-;?87j;;o377?7132eon7>5$067>a286<94;nfb>5<#9=>1h95a15195==458g0>h6<:0:565`d983>!73<3n?7c?;3;3b?>ic?3:1(<:;:e68j42428h07bj9:18'512=l=1e=9=51b98ka4=83.:894k4:l206<6l21do:4?:%370?b33g;??7?j;:mag?6=,8>?6i:4n060>4`<3fo:6=4+1569a5=i9=91<65`dg83>!73<3o;7c?;3;38?jbb290/=9:5e19m515=:21dhi4?:%370?c73g;??7=4;ng5>5<#9=>1i85a15194>=hm=0;6)?;4;g6?k73;3;07bk<:18'512=m<1e=9=52:9la7<72-;?87k:;o377?5<3ty:?o4?:3y>533=9=:01<;>:3d8yv74k3:18hu21769a<=:9<;1>=5214395c=:9<;1=h5214395a=:9<;1=n52143953=:9<;1>h5214396a=:9<;1>n5214396g=:9<;1>85214392==:9<;1:;52143921=:9<;1:>52143927=:9<;1:<52143925=:9<;19h5214391a=:9<;19n5214391g=:9<;1945214391==:9<;19:52143910=:9<;19952143916=:9<;19?52143914=:9<;19=5214392c=:9<;1:i5214392f=:9<;1:o5214392d=:9<;1:452143920=:9<;19l521439<<=:9<;14:521439<0=:9<;149521439<6=:9<;14?521439<4=:9<;1;k5214393`=:9<;1;i5214393f=:9<;1;l5214393<=:9<;1;552143933=:9<;1;852143931=:9<;1;>52143937=:9<;1;<521439=5=:9<;14h521439521439=7=:9<;15<521439=d=:9<;155521439=2=:9<;15;52143951b<58?:6<:j;|q2<<<72;qU=574=072>52z\25<5sW;3n63>508b6>{t91i1<78e83>7}Y91n01<;>:ca8yv7?m3:1>vP>8d9>507=lk1v<6i:181[7?n27:9<4l3:p5<7=838pR<7>;<365?ed3ty:5?4?:2y]5<4<58?:6h94=072>`>53z\23c=:9<;1?i52143907=z{82;6=4={_3;4>;6=80?j6s|19394?4|V82:70?:1;4f?xu60;0;6?uQ190894362<<0q~?73;296~X60:16=8?55g9~w4>32909wS?74:?214<082wx=5;50;0xZ4>234;>=76i;|q2<3<72;qU=584=072>2152z\2<==:9<;14=5rs04g>5<4sW;=h63>50815>;6=808<6s|17g94?5|V86g83>6}Y9?l01<;>:31894362:80q~?80;297~X6?916=8?5259>507=;:1v<9>:180[70927:9<4>7:?214<5>2wx=:<50;1xZ41534;>=7?7;<365?403ty:;>4?:2y]525<58?:6<74=072>7>53z\230=:9<;1=o5214396d=z{8326=46{_3:=>;6=80:94521439501<58?:6<;9;<365?72=27:9<4>5d9>507=9:07a?87293;>m6srnd794?7|@8?97p`j6;295~N6=;1vbh950;3xL4353tdn47>51zJ217=zfl31<7?tH071?xhbi3:1=vF>539~j`d=83;pD<;=;|lfg?6=9rB:9?5rndf94?7|@8?97p`je;295~N6=;1vbhh50;3xL4353tdm<7>51zJ217=zfo;1<7?tH071?xha:3:1=vF>539~jc5=83;pD<;=;|le0?6=9rB:9?5rng794?7|@8?97p`i6;295~N6=;1vbk950;3xL4353tdm47>51zJ217=zfo31<7?tH071?xhai3:1=vF>539~jcd=83;pD<;=;|leg?6=9rB:9?5rngf94?7|@8?97p`ie;295~N6=;1vbkh50;3xL4353td:<=4?:0yK50451zJ217=zutwKLNu>1g8af3`598nvLMLt0|BCT~{GH \ No newline at end of file
trunk/ipcore_dir/ram4kx8.ngc Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.sym =================================================================== --- trunk/ipcore_dir/ram4kx8.sym (nonexistent) +++ trunk/ipcore_dir/ram4kx8.sym (revision 6) @@ -0,0 +1,27 @@ + + + BLOCK + 2017-12-27T18:14:54 + + + + + + + + ram4kx8 + + + + + + + + + + + + + + +
trunk/ipcore_dir/ram4kx8.sym Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.vhd =================================================================== --- trunk/ipcore_dir/ram4kx8.vhd (nonexistent) +++ trunk/ipcore_dir/ram4kx8.vhd (revision 6) @@ -0,0 +1,146 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used solely -- +-- for design, simulation, implementation and creation of design files -- +-- limited to Xilinx devices or technologies. Use with non-Xilinx -- +-- devices or technologies is expressly prohibited and immediately -- +-- terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- +-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- +-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- +-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- +-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- +-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- +-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- +-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- +-- PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support appliances, -- +-- devices, or systems. Use in such applications are expressly -- +-- prohibited. -- +-- -- +-- (c) Copyright 1995-2017 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file ram4kx8.vhd when simulating +-- the core, ram4kx8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +LIBRARY XilinxCoreLib; +-- synthesis translate_on +ENTITY ram4kx8 IS + PORT ( + clka : IN STD_LOGIC; + ena : IN STD_LOGIC; + wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END ram4kx8; + +ARCHITECTURE ram4kx8_a OF ram4kx8 IS +-- synthesis translate_off +COMPONENT wrapped_ram4kx8 + PORT ( + clka : IN STD_LOGIC; + ena : IN STD_LOGIC; + wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END COMPONENT; + +-- Configuration specification + FOR ALL : wrapped_ram4kx8 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) + GENERIC MAP ( + c_addra_width => 8, + c_addrb_width => 8, + c_algorithm => 1, + c_axi_id_width => 4, + c_axi_slave_type => 0, + c_axi_type => 1, + c_byte_size => 9, + c_common_clk => 0, + c_default_data => "FF", + c_disable_warn_bhv_coll => 0, + c_disable_warn_bhv_range => 0, + c_enable_32bit_address => 0, + c_family => "spartan3", + c_has_axi_id => 0, + c_has_ena => 1, + c_has_enb => 0, + c_has_injecterr => 0, + c_has_mem_output_regs_a => 0, + c_has_mem_output_regs_b => 0, + c_has_mux_output_regs_a => 0, + c_has_mux_output_regs_b => 0, + c_has_regcea => 0, + c_has_regceb => 0, + c_has_rsta => 0, + c_has_rstb => 0, + c_has_softecc_input_regs_a => 0, + c_has_softecc_output_regs_b => 0, + c_init_file => "BlankString", + c_init_file_name => "no_coe_file_loaded", + c_inita_val => "0", + c_initb_val => "0", + c_interface_type => 0, + c_load_init_file => 0, + c_mem_type => 0, + c_mux_pipeline_stages => 0, + c_prim_type => 1, + c_read_depth_a => 256, + c_read_depth_b => 256, + c_read_width_a => 8, + c_read_width_b => 8, + c_rst_priority_a => "CE", + c_rst_priority_b => "CE", + c_rst_type => "SYNC", + c_rstram_a => 0, + c_rstram_b => 0, + c_sim_collision_check => "ALL", + c_use_bram_block => 0, + c_use_byte_wea => 0, + c_use_byte_web => 0, + c_use_default_data => 1, + c_use_ecc => 0, + c_use_softecc => 0, + c_wea_width => 1, + c_web_width => 1, + c_write_depth_a => 256, + c_write_depth_b => 256, + c_write_mode_a => "WRITE_FIRST", + c_write_mode_b => "WRITE_FIRST", + c_write_width_a => 8, + c_write_width_b => 8, + c_xdevicefamily => "spartan3a" + ); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_ram4kx8 + PORT MAP ( + clka => clka, + ena => ena, + wea => wea, + addra => addra, + dina => dina, + douta => douta + ); +-- synthesis translate_on + +END ram4kx8_a;
trunk/ipcore_dir/ram4kx8.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.vho =================================================================== --- trunk/ipcore_dir/ram4kx8.vho (nonexistent) +++ trunk/ipcore_dir/ram4kx8.vho (revision 6) @@ -0,0 +1,79 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used solely -- +-- for design, simulation, implementation and creation of design files -- +-- limited to Xilinx devices or technologies. Use with non-Xilinx -- +-- devices or technologies is expressly prohibited and immediately -- +-- terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- +-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- +-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- +-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- +-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- +-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- +-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- +-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- +-- PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support appliances, -- +-- devices, or systems. Use in such applications are expressly -- +-- prohibited. -- +-- -- +-- (c) Copyright 1995-2017 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +-- Generated from core with identifier: xilinx.com:ip:blk_mem_gen:7.3 -- +-- -- +-- The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port -- +-- Block Memory and Single Port Block Memory LogiCOREs, but is not a -- +-- direct drop-in replacement. It should be used in all new Xilinx -- +-- designs. The core supports RAM and ROM functions over a wide range of -- +-- widths and depths. Use this core to generate block memories with -- +-- symmetric or asymmetric read and write port widths, as well as cores -- +-- which can perform simultaneous write operations to separate -- +-- locations, and simultaneous read operations from the same location. -- +-- For more information on differences in interface and feature support -- +-- between this core and the Dual Port Block Memory and Single Port -- +-- Block Memory LogiCOREs, please consult the data sheet. -- +-------------------------------------------------------------------------------- + +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +COMPONENT ram4kx8 + PORT ( + clka : IN STD_LOGIC; + ena : IN STD_LOGIC; + wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END COMPONENT; +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : ram4kx8 + PORT MAP ( + clka => clka, + ena => ena, + wea => wea, + addra => addra, + dina => dina, + douta => douta + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file ram4kx8.vhd when simulating +-- the core, ram4kx8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". +
trunk/ipcore_dir/ram4kx8.vho Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.xco =================================================================== --- trunk/ipcore_dir/ram4kx8.xco (nonexistent) +++ trunk/ipcore_dir/ram4kx8.xco (revision 6) @@ -0,0 +1,108 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Wed Dec 27 18:13:44 2017 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:blk_mem_gen:7.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc3s200a +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = vq100 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:7.3 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET axi_id_width=4 +CSET axi_slave_type=Memory_Slave +CSET axi_type=AXI4_Full +CSET byte_size=9 +CSET coe_file=no_coe_file_loaded +CSET collision_warnings=ALL +CSET component_name=ram4kx8 +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET ecctype=No_ECC +CSET enable_32bit_address=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Always_Enabled +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=true +CSET interface_type=Native +CSET load_init_file=false +CSET mem_file=no_Mem_file_loaded +CSET memory_type=Single_Port_RAM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=50 +CSET port_b_clock=0 +CSET port_b_enable_rate=0 +CSET port_b_write_rate=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_input_of_softecc=false +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET register_portb_output_of_softecc=false +CSET remaining_memory_locations=FF +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET softecc=false +CSET use_axi_id=false +CSET use_bram_block=Stand_Alone +CSET use_byte_write_enable=false +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=256 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T16:22:25Z +# END Extra information +GENERATE +# CRC: e0d1b75d
trunk/ipcore_dir/ram4kx8.xco Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8.xise =================================================================== --- trunk/ipcore_dir/ram4kx8.xise (nonexistent) +++ trunk/ipcore_dir/ram4kx8.xise (revision 6) @@ -0,0 +1,74 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
trunk/ipcore_dir/ram4kx8.xise Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8_flist.txt =================================================================== --- trunk/ipcore_dir/ram4kx8_flist.txt (nonexistent) +++ trunk/ipcore_dir/ram4kx8_flist.txt (revision 6) @@ -0,0 +1,57 @@ +# Output products list for +_xmsgs\pn_parser.xmsgs +ram4kx8.asy +ram4kx8.gise +ram4kx8.ngc +ram4kx8.sym +ram4kx8.vhd +ram4kx8.vho +ram4kx8.xco +ram4kx8.xise +ram4kx8\blk_mem_gen_v7_3_readme.txt +ram4kx8\doc\blk_mem_gen_v7_3_vinfo.html +ram4kx8\doc\pg058-blk-mem-gen.pdf +ram4kx8\example_design\ram4kx8_exdes.ucf +ram4kx8\example_design\ram4kx8_exdes.vhd +ram4kx8\example_design\ram4kx8_exdes.xdc +ram4kx8\example_design\ram4kx8_prod.vhd +ram4kx8\implement\implement.bat +ram4kx8\implement\implement.sh +ram4kx8\implement\planAhead_ise.bat +ram4kx8\implement\planAhead_ise.sh +ram4kx8\implement\planAhead_ise.tcl +ram4kx8\implement\xst.prj +ram4kx8\implement\xst.scr +ram4kx8\simulation\addr_gen.vhd +ram4kx8\simulation\bmg_stim_gen.vhd +ram4kx8\simulation\bmg_tb_pkg.vhd +ram4kx8\simulation\checker.vhd +ram4kx8\simulation\data_gen.vhd +ram4kx8\simulation\functional\simcmds.tcl +ram4kx8\simulation\functional\simulate_isim.bat +ram4kx8\simulation\functional\simulate_mti.bat +ram4kx8\simulation\functional\simulate_mti.do +ram4kx8\simulation\functional\simulate_mti.sh +ram4kx8\simulation\functional\simulate_ncsim.sh +ram4kx8\simulation\functional\simulate_vcs.sh +ram4kx8\simulation\functional\ucli_commands.key +ram4kx8\simulation\functional\vcs_session.tcl +ram4kx8\simulation\functional\wave_mti.do +ram4kx8\simulation\functional\wave_ncsim.sv +ram4kx8\simulation\ram4kx8_synth.vhd +ram4kx8\simulation\ram4kx8_tb.vhd +ram4kx8\simulation\random.vhd +ram4kx8\simulation\timing\simcmds.tcl +ram4kx8\simulation\timing\simulate_isim.bat +ram4kx8\simulation\timing\simulate_mti.bat +ram4kx8\simulation\timing\simulate_mti.do +ram4kx8\simulation\timing\simulate_mti.sh +ram4kx8\simulation\timing\simulate_ncsim.sh +ram4kx8\simulation\timing\simulate_vcs.sh +ram4kx8\simulation\timing\ucli_commands.key +ram4kx8\simulation\timing\vcs_session.tcl +ram4kx8\simulation\timing\wave_mti.do +ram4kx8\simulation\timing\wave_ncsim.sv +ram4kx8_flist.txt +ram4kx8_xmdf.tcl +summary.log
trunk/ipcore_dir/ram4kx8_flist.txt Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/ram4kx8_xmdf.tcl =================================================================== --- trunk/ipcore_dir/ram4kx8_xmdf.tcl (nonexistent) +++ trunk/ipcore_dir/ram4kx8_xmdf.tcl (revision 6) @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide ram4kx8_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::ram4kx8_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::ram4kx8_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name ram4kx8 +} +# ::ram4kx8_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::ram4kx8_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/blk_mem_gen_v7_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/doc/blk_mem_gen_v7_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/doc/pg058-blk-mem-gen.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/example_design/ram4kx8_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/example_design/ram4kx8_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/example_design/ram4kx8_exdes.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/example_design/ram4kx8_prod.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/addr_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/bmg_stim_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/bmg_tb_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/checker.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/data_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/ram4kx8_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/ram4kx8_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/random.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram4kx8_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path summary.log +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module ram4kx8 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams
trunk/ipcore_dir/ram4kx8_xmdf.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.asy =================================================================== --- trunk/ipcore_dir/rom4kx8.asy (nonexistent) +++ trunk/ipcore_dir/rom4kx8.asy (revision 6) @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 rom4kx8 +RECTANGLE Normal 32 32 544 1376 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[11:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName douta[7:0] +PINATTR Polarity OUT + Index: trunk/ipcore_dir/rom4kx8.gise =================================================================== --- trunk/ipcore_dir/rom4kx8.gise (nonexistent) +++ trunk/ipcore_dir/rom4kx8.gise (revision 6) @@ -0,0 +1,32 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + +
trunk/ipcore_dir/rom4kx8.gise Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.ncf =================================================================== Index: trunk/ipcore_dir/rom4kx8.ncf =================================================================== --- trunk/ipcore_dir/rom4kx8.ncf (nonexistent) +++ trunk/ipcore_dir/rom4kx8.ncf (revision 6)
trunk/ipcore_dir/rom4kx8.ncf Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.ngc =================================================================== --- trunk/ipcore_dir/rom4kx8.ngc (nonexistent) +++ trunk/ipcore_dir/rom4kx8.ngc (revision 6) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0;744<,[o}e~g`n;"2*73>(-80!<74012345678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;=95>2;KMTPR=imnym1?>:1<20>732@D[YY4NDEPB845=87;?7<:5IORVP?GCL[H7=>4?>008770187758c3:y9<=oyq023)1763=0BB][[:EKSE95=87;:794FNQWW>AOWJ591<3??;58JJUSS2^OJ0>4?>0280?OIX\^1[HL33;2=57=32F__\XZ5DNRB86<7688087AZTQWW>AIWJ591<3:?;7452301>?<=:;8967452301>?<=:;8967452301>?<=:;8967452301>?<=:;8965283210?>=<;:9876543210?>=<;:9876543210?>=<;:9876543210?>=<;:98765456=?2F__\XZ5dnwwe91=8720MIJ]A=2==>GCL[K7==06;@FGVD:69730MIJ]A=31:g=FLMXJ0<=50?;8EABUI5;8255NDEPB848?3HNO^L2=>99B@ATF4:437LJKR@>7:==FLMXJ0807;@FGVD:1611JHI\N<6<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`8EABUJ5;86=06;@FGVG:6;720MIJ]B=3=<>GCL[H7>364AEFQF95902KOH_L34?:8EABUJ5?546OKDS@?2;>GKD11IY^QFNGM26>EOMJAT@DMJNRG\P\VB<2IGG=84CMI2<50:;BNH=52EKCK;>7NBDC078GIMC9<1H@FJYc:AOOAPXL@\BHH;4CMIE\==DDBLS=5>6;BMNILRSMM;?7NA]E^EFJ@TF\@EESD@IO69@V@GSMMi0HLZN_VKGPMYFk2NJXLQXIEVK[G01OEL2>3?58@LG;9=4<7IGN<07=3>BNI5;=2:5KI@>23;12NBM1?17:FJE9476>1OEL2=1?58@LG;:;4<7IGN<31=3>BNI58?2:5KI@>11;1;08;EKB8719?2NBM1<7>69GMD:517<0HDO32?58@LG;;9427IGN<2394;1>69GMG:6:7=0HDL312<4?AOE48>5;6JFB=36:2=CAK6::394DH@?52803MCI0<617:FJF97>6?1OEO2>>69GMG:587=0HDL320<4?AOE4;85;6JFB=00:2=CAK698394DH@?60803MCI0?817:FJF9406>1OEO2=8?58@LD;:04=7IGM<3<4?AOE4::556JFB=12>5803MCI0>?16:FJF959>2NBN1:16:FJF939>2NBN1816:FJF919>2NBN1616:FJF9?9?2NB\L2?>69GMUG;97=0HD^N<3<:?AOWI591<394DHRB86803MC[N1>17:FJTG:66>1OE]L32?;8@LVE4:0;2:5KIQ@?7;01OCL2>3?58@JG;9=4<7IAN<07=3>BHI5;=2:5KO@>23;12NDM1?17:FLE9476>1OCL2=1?58@JG;:;4<7IAN<31=3>BHI58?2:5KO@>11;1;08;EMB8719?2NDM1<7>69GKD:517<0HBO32?58@JG;;9427IAN<2394;1>69GKG:6:7=0HBL312<4?AIE48>5;6J@B=36:2=CGK6::394DN@?52803MEI0<617:FLF97>6?1OCO2>>69GKG:587=0HBL320<4?AIE4;85;6J@B=00:2=CGK698394DN@?60803MEI0?817:FLF9406>1OCO2=8?58@JD;:04=7IAM<3<4?AIE4::556J@B=12>5803MEI0>?16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2ND\L2?>69GKUG;97=0HB^N<3<:?AIWI591<394DNRB86803ME[N1>17:FLTG:66>1OC]L32?;8@JVE4:0;2:5KOQ@?7;5<8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ60?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A11BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1K8;HLJPZ5A>2CEEYQN6:KMMQYE12CEEYQIIMG5?IIFLLN=7AALKDF4?II@AJKGo6CnjnpUawunggi0Ad``rWgqwlii:2D;>6@>7:LFPRIUC=1ECCK>7:MSPLKNRLU[^DCFTHTFWZH@K81[o6^!21305574WE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM?1[_IAAE89QEHD6>K]N=<5\HSGD[@^SZHI_SCILe:QKV@AXE@DT_DTJ129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI:6][AUWP0>R^XL<27X> xrv\fimXelgTkh`jr`vlv*aii}c}ioch.ocwmscXjeaTahcPgdl,rdjnl'ixx!}alnlku]7U'xja#| s2c\mkos&{kf#i~}al,qeh0>3\:$t~zPbmi\i`kXoldn~lz`r.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY2Y+tfe'x$>oPiokw*wgj'mzym` }ala8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=f>QUA]OTJD\\Tb9TVLRBWDEOIROl;VPJP@YJGMOTN^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2Sn55ndepb858>3hno~l2>0?`8eabui5;:6=06;`fgvd:69720mij}a=3=<>gcl{k7>364aefqe95902koho34?:8eabui5?546okdsc?2;>8:`ooZkbeVmnbR~}il]tmaroW8;37obd_lgn[bciWyxbaRyfduj\675bh}}k7;7>18:flqqg;?720bjmmuhng6>hh?2xda9ew85d5?wij#c^jbwZtbojoi1="l_icp[wusi4:'oRfns^pppg;7$jUcm~Q|ogvfgfYnfySkhs^c>4)eX`hyTbh{eba\kprw}}Uyij}Pb<2/gZoia}Umeak2BmcmoVruagm'oRgaiu]emicXfhgn1caPcng\bljbWeejhhj#c^kmmqgX~hf6"l_lw{[uowmeceiR}{afgp95*dWyxbaRzvpd?1(fYumhnThh~{h^c>05?1$jUyiljPddrwlZd:<93= nQ}e`f\slbs`Vk64!mPrdcg[roc|aUi15"l_sqw[utng{cuRo2CD.`[wusWyxbcg{y^`>G@*dW{ySywe66-a\swosmVnn|yfPb<63=3*dW~xbxhQboeg\e8QUA]OTJD\\T-a\swosmVgdhhQm=VPJP@YAA[Y_ nQxrhvf[roc|aUj15"l_vpjp`Ypam~cSo37,b]{``pnklljadbv=rrbvqgi;hw:n6|`m5i{<)dkcVgnaRijn^t4[6*|utJK|1=v];b;35f?7383;8?n7kb;04<`7|f8<26<5a17c92>"6>>0::?5rS5;953d=9=:1=>=l9e`962>b12Y:?84>8g83>454k0ni6?97ee9P0<<60o0;6<=71?mo1o=4?50;395~U3j3;=n7?;0;307f?cj38<4h64vU37=?6=93;1=:u\4c822g<6<90:?>m6dc813=c?3-;>87?<7:T22=<5s|;847?4u01:>5=z,8>:6o5m18394?722=0:;vF>539'51`=90;0V>:52z6951;%16>4?43->j6<7=;%373?7<,8>=6<8l;h344?6=,8>86<8i;o376?6<3`;=i7>5$060>40a3g;?>7?4;h34=?6=,8>86<8i;o376?4<3`;<47>5$060>40a3g;?>7=4;h343?6=,8>86<8i;o376?2<3`;<:7>5$060>40a3g;?>7;4;h341?6=,8>86<8i;o376?0<3`;<87>5$060>40a3g;?>794;h347?6=,8>86<8i;o376?><3`;<>7>5$060>40a3g;?>774;h345?6=,8>86<8i;o376?g<3`;=h7>5$060>40a3g;?>7l4;h3;1?6=3f;3i7>5$060>4>c3g;?>7>4;n3;g?6=,8>86<6k;o376?7<3f;3n7>5$060>4>c3g;?>7<4;n3;e?6=,8>86<6k;o376?5<3f;357>5$060>4>c3g;?>7:4;n3;86<6k;o376?3<3f;3;7>5$060>4>c3g;?>784;n3;2?6=,8>86<6k;o376?1<3k;=97>51;294~"65G1718L4353f;8j7>5;|`221<7280;6=u+15d9a<=O9?90D<;=;ng;>5<<7>5b`83>5}#9=l1=9;4H040?M72:2P887mt5;49g?b=990:=7958;g9b??=i3w/=>k51828j1e=<2d?h7:4$dc97>"bj390(hm53:&f`?5<,lo1?6*jf;18 c6=;2.m=7=4$g0957=#n:0:>6*i4;31?!`22880(k85139'b2<6:2.m47?=;%d:>44<,ok1=?5+fc826>"ak3;97)hk:008 cc=9;1/jk4>2:&245<6:2.:<<4>2:&247<6:2.:<>4>2:&241<6:2.:<84>2:&243<6:2.:<:4>2:&24=<6:2.:<44>2:&24d<6:2.:2:&24f<6:2.:2:&24`<6:2.:2:&255<6:2.:=<4>2:&257<6:2.:=>4>2:&251<6:2.:=84>2:&253<6:2.:=:4>2:&25=<6:2.:=44>2:&25d<6:2.:=o4>2:&25f<6:2.:=i4>2:&25`<6:2.:=k4>2:&265<6:2.:><4>2:&267<6:2.:>>4>2:&261<6:2.:>84>2:&263<6:2.:>:4>2:&26=<6:2.:>44>2:&26d<6:2.:>o4>2:&26f<6:2.:>i4>2:&26`<6:2.:>k4>2:&275<6:2.:?<4>2:&277<53-;8?7<4$017>45c3-;?47=<;%37e?4<,8>i6?5+172950`<,8<:6<;i;h1`>5<>ob?3:17d?;c;29?l73l3:17d?n:18'515=901e=9<50:9j5=<72-;??7?6;o376?7<3`;<6=4+15195<=i9=81>65f1783>!73;3;27c?;2;18?l44290/=9=5189m514=<21b>?4?:%377?7>3g;?>7;4;h02>5<#9=91=45a15092>=n:90;6)?;3;3:?k73:3=07d?i:18'515=901e=9<58:9j5`<72-;??7?6;o376??<3`;o6=4+15195<=i9=81m65f1b83>!73;3;27c?;2;`8?l7e290/=9=5189m514=k21b=84?:%377?7>3g;?>7j4;h0:>5<#9=91>55a15094>=n:>0;6)?;3;0;?k73:3;07d<9:18'515=:11e=9<52:9j60<72-;??7<7;o376?5<3`996=4+15196==i9=81865f3083>!73;3837c?;2;78?l57290/=9=5299m514=>21b>k4?:%377?4?3g;?>794;h0f>5<#9=91>55a1509<>=n:m0;6)?;3;0;?k73:3307d!73;3837c?;2;f8?l00290/=9=5679m514=821b:84?:%377?013g;?>7?4;h40>5<#9=91:;5a15096>=n>;0;6)?;3;45?k73:3907d8>:18'515=>?1e=9<54:9j25<72-;??789;o376?3<3`?m6=4+151923=i9=81:65f5d83>!73;3<=7c?;2;58?l3c290/=9=5679m514=021b9n4?:%377?013g;?>774;h7a>5<#9=91:;5a1509e>=n=h0;6)?;3;45?k73:3h07d;7:18'515=>?1e=9<5c:9j12<72-;??789;o376?b<3`?=6=4+151923=i9=81i65f5483>!73;3<=7c?;2;d8?l33290/=9=5679m514=9910e8=50;&206<1>2d:8?4>1:9j17<72-;??789;o376?7532c>=7>5$060>3096<=4;h73>5<#9=91:;5a150951=42852>h6<;0:965f6d83>!73;3<=7c?;2;35?>o1l3:1(<:<:748j42528=07d8l:18'515=>?1e=9<51998m3d=83.:8>496:l207<6121b:l4?:%377?013g;?>7?n;:k5=?6=,8>86;84n061>4d<3`<36=4+151923=i9=81=n54i7694?"6<:0=:6`>4382`>=n=00;6)?;3;45?k73:3;n76g;e;29 4242?<0b<:=:0d8?l>?290/=9=5869m514=821b4;4?:%377?>03g;?>7?4;h:7>5<#9=914:5a15096>=n0:0;6)?;3;:4?k73:3907d6=:18'515=0>1e=9<54:9j<4<72-;??768;o376?3<3`2;6=4+1519<2=i9=81:65f7g83>!73;32<7c?;2;58?l1b290/=9=5869m514=021b;i4?:%377?>03g;?>774;h5`>5<#9=914:5a1509e>=n?k0;6)?;3;:4?k73:3h07d96:18'515=0>1e=9<5c:9j3=<72-;??768;o376?b<3`=<6=4+1519<2=i9=81i65f7783>!73;32<7c?;2;d8?l12290/=9=5869m514=9910e::50;&2061:9j36<72-;??768;o376?7532c<>7>5$060>=196<=4;h52>5<#9=914:5a150951=:1<7*>428;3>h6<;0:965f8g83>!73;32<7c?;2;35?>o?m3:1(<:<:958j42528=07d6k:18'515=0>1e=9<51998m=e=83.:8>477:l207<6121b4o4?:%377?>03g;?>7?n;:k;e?6=,8>86594n061>4d<3`226=4+1519<2=i9=81=n54i9794?"6<:03;6`>4382`>=n?h0;6)?;3;:4?k73:3;n76g9f;29 42421=0b<:=:0d8?l?3290/=9=5929m514=821b5?4?:%377??43g;?>7?4;h;2>5<#9=915>5a15096>=n190;6)?;3;;0?k73:3907d76:18'515=111e=9<50:9j=2<72-;??777;o376?7<3`3=6=4+1519===i9=81>65f9483>!73;3337c?;2;18?l7213:1(<:<:07;?k73:3:07d?:7;29 42428?37c?;2;38?l72>3:1(<:<:07;?k73:3807d?:5;29 42428?37c?;2;18?l72m3:1(<:<:07g?k73:3:07d?:c;29 42428?o7c?;2;38?l72j3:1(<:<:07g?k73:3807d?:a;29 42428?o7c?;2;18?jd4290/=9=5b39m514=821dn<4?:%377?d53g;?>7?4;nce>5<#9=91n?5a15096>=hil0;6)?;3;`1?k73:3907bok:18'515=j;1e=9<54:9lef<72-;??7l=;o376?3<3fki6=4+1519f7=i9=81:65`a`83>!73;3h97c?;2;58?jg>290/=9=5b39m514=021dm54?:%377?d53g;?>774;nc4>5<#9=91n?5a1509e>=hi?0;6)?;3;`1?k73:3h07bo;:18'515=j;1e=9<5c:9le6<72-;??7l=;o376?b<3fk96=4+1519f7=i9=81i65`a083>!73;3h97c?;2;d8?jg7290/=9=5b39m514=9910c4h50;&2061:9l=`<72-;??7l=;o376?7532e2h7>5$060>g496<=4;n;`>5<#9=91n?5a150951=428a6>h6<;0:965`b`83>!73;3h97c?;2;35?>ie13:1(<:<:c08j42528=07bl7:18'515=j;1e=9<51998kg1=83.:8>4m2:l207<6121dn;4?:%377?d53g;?>7?n;:ma1?6=,8>86o<4n061>4d<3fh?6=4+1519f7=i9=81=n54oc294?"6<:0i>6`>4382`>=hi<0;6)?;3;`1?k73:3;n76a6a;29 4242k80b<:=:0d8?jb3290/=9=5d29m514=821dh?4?:%377?b43g;?>7?4;nf3>5<#9=91h>5a15096>=hko0;6)?;3;f0?k73:3907bmj:18'515=l:1e=9<54:9lga<72-;??7j<;o376?3<3fih6=4+1519`6=i9=81:65`cc83>!73;3n87c?;2;58?jef290/=9=5d29m514=021do44?:%377?b43g;?>774;na;>5<#9=91h>5a1509e>=hk>0;6)?;3;f0?k73:3h07bm::18'515=l:1e=9<5c:9lg1<72-;??7j<;o376?b<3fi86=4+1519`6=i9=81i65`c383>!73;3n87c?;2;d8?je6290/=9=5d29m514=9910cn>50;&2061:9lfc<72-;??7j<;o376?7532eii7>5$060>a596<=4;n`g>5<#9=91h>5a150951=428g7>h6<;0:965`dc83>!73;3n87c?;2;35?>ici3:1(<:<:e18j42528=07bj6:18'515=l:1e=9<51998ka>=83.:8>4k3:l207<6121dh:4?:%377?b43g;?>7?n;:mg2?6=,8>86i=4n061>4d<3fn>6=4+1519`6=i9=81=n54oe394?"6<:0o?6`>4382`>=hk?0;6)?;3;f0?k73:3;n76amb;29 4242m90b<:=:0d8?jc7290/=9=5dg9m514=821dhh4?:%377?ba3g;?>7?4;nfg>5<#9=91hk5a15096>=hlj0;6)?;3;fe?k73:3907bk::18'515=m=1e=9<50:9la6<72-;??7k;;o376?7<3fo96=4+1519a1=i9=81>65`e083>!73;3o?7c?;2;18?xd6=80;6oo50;2x 42a28>>7E?93:J217=];=0hw849:b8g>46=980<654j:g8:>d1=i5+ee80?!cb2:1/ik4<;%d3>6=#n8087)h=:018 c5=9:1/j94>3:&e1?743-l=6<=4$g5956=#n10:?6*i9;30?!`f2890(kl5129'bf<6;2.mh7?<;%df>45<,ol1=>5+112956=#99;1=>5+110956=#9991=>5+116956=#99?1=>5+114956=#99=1=>5+11:956=#9931=>5+11c956=#99h1=>5+11a956=#99n1=>5+11g956=#99l1=>5+102956=#98;1=>5+100956=#9891=>5+106956=#98?1=>5+104956=#98=1=>5+10:956=#9831=>5+10c956=#98h1=>5+10a956=#98n1=>5+10g956=#98l1=>5+132956=#9;;1=>5+130956=#9;91=>5+136956=#9;?1=>5+134956=#9;=1=>5+13:956=#9;31=>5+13c956=#9;h1=>5+13a956=#9;n1=>5+13g956=#9;l1=>5+122956=#9:;1=>5+12096>"6;:097)?<4;30`>"6<108?6*>4`81?!73j380(<8?:07e?!7193;>j6g5$060>4?96=54i0:94?"6<:0:56`>4382?>o6?3:1(<:<:0;8j4252;10e<850;&206<612d:8?4<;:k17?6=,8>86<74n061>1=4282=>h6<;0>76g=1;29 4242830b<:=:798m76=83.:8>4>9:l207<032c:j7>5$060>4?96554i0g94?"6<:0:56`>438:?>o6l3:1(<:<:0;8j4252h10e86<74n061>f=4282=>h6<;0o76g=9;29 4242;20b<:=:198m71=83.:8>4=8:l207<632c9:7>5$060>7>96?54i3794?"6<:0946`>4380?>o4:3:1(<:<:3:8j4252=10e>?50;&206<502d:8?4:;:k04?6=,8>86?64n061>3=4281<>h6<;0<76g=e;29 4242;20b<:=:998m7b=83.:8>4=8:l207<>32c9o7>5$060>7>96l54i3`94?"6<:0946`>438a?>o5i3:1(<:<:3:8j4252j10e?:50;&206<502d:8?4k;:k53?6=,8>86;84n061>5=42852>h6<;0:76g93;29 4242?<0b<:=:398m34=83.:8>496:l207<432c==7>5$060>3096954i7294?"6<:0=:6`>4386?>o2n3:1(<:<:748j4252?10e8k50;&206<1>2d:8?48;:k6`?6=,8>86;84n061>==42852>h6<;0276g:b;29 4242?<0b<:=:`98m0g=83.:8>496:l20747>5$060>3096n54i4594?"6<:0=:6`>438g?>o2>3:1(<:<:748j4252l10e8;50;&206<1>2d:8?4i;:k60?6=,8>86;84n061>46<3`?86=4+151923=i9=81=<54i4094?"6<:0=:6`>43826>=n=80;6)?;3;45?k73:3;876g:0;29 4242?<0b<:=:068?l2a290/=9=5679m514=9<10e;k50;&206<1>2d:8?4>6:9j2a<72-;??789;o376?7032c=o7>5$060>3096<64;h4a>5<#9=91:;5a15095<=42852>h6<;0:m65f6883>!73;3<=7c?;2;3a?>o103:1(<:<:748j42528i07d8;:18'515=>?1e=9<51e98m0?=83.:8>496:l207<6m21b8h4?:%377?013g;?>7?i;:k;86594n061>5=428;3>h6<;0:76g74;29 42421=0b<:=:398m=5=83.:8>477:l207<432c3>7>5$060>=196954i9394?"6<:03;6`>4386?>o?83:1(<:<:958j4252?10e:h50;&20686594n061>==n1<7*>428;3>h6<;0276g8c;29 42421=0b<:=:`98m2d=83.:8>477:l2075$060>=196n54i6:94?"6<:03;6`>438g?>o0?3:1(<:<:958j4252l10e:850;&20686594n061>46<3`=?6=4+1519<2=i9=81=<54i6194?"6<:03;6`>43826>=n?;0;6)?;3;:4?k73:3;876g81;29 42421=0b<:=:068?l17290/=9=5869m514=9<10e5h50;&2066:9j<`<72-;??768;o376?7032c3h7>5$060>=196<64;h:`>5<#9=914:5a15095<=428;3>h6<;0:m65f8`83>!73;32<7c?;2;3a?>o?13:1(<:<:958j42528i07d6::18'515=0>1e=9<51e98m2g=83.:8>477:l207<6m21b:k4?:%377?>03g;?>7?i;:k:0?6=,8>864=4n061>5=428:7>h6<;0:76g61;29 4242090b<:=:398m<6=83.:8>463:l207<432c257>5$060><>96=54i8594?"6<:0246`>4382?>o>>3:1(<:<:8:8j4252;10e4;50;&206<>02d:8?4<;:k21<<72-;??7?:8:l207<732c:9:4?:%377?7202d:8?4>;:k213<72-;??7?:8:l207<532c:984?:%377?7202d:8?4<;:k21`<72-;??7?:d:l207<732c:9n4?:%377?72l2d:8?4>;:k21g<72-;??7?:d:l207<532c:9l4?:%377?72l2d:8?4<;:ma7?6=,8>86o<4n061>5=428a6>h6<;0:76anf;29 4242k80b<:=:398kdc=83.:8>4m2:l207<432ejh7>5$060>g496954o`a94?"6<:0i>6`>4386?>ifj3:1(<:<:c08j4252?10clo50;&20686o<4n061>==428a6>h6<;0276an7;29 4242k80b<:=:`98kd0=83.:8>4m2:l2075$060>g496n54o`194?"6<:0i>6`>438g?>if:3:1(<:<:c08j4252l10cl?50;&20686o<4n061>46<3f3m6=4+1519f7=i9=81=<54o8g94?"6<:0i>6`>43826>=h1m0;6)?;3;`1?k73:3;876a6c;29 4242k80b<:=:068?j?e290/=9=5b39m514=9<10coo50;&2066:9lf<<72-;??7l=;o376?7032ei47>5$060>g496<64;n`4>5<#9=91n?5a15095<=428a6>h6<;0:m65`b483>!73;3h97c?;2;3a?>ie<3:1(<:<:c08j42528i07bl?:18'515=j;1e=9<51e98kd3=83.:8>4m2:l207<6m21d5l4?:%377?d53g;?>7?i;:mg0?6=,8>86i=4n061>5=428g7>h6<;0:76ak0;29 4242m90b<:=:398kf`=83.:8>4k3:l207<432ehi7>5$060>a596954obf94?"6<:0o?6`>4386?>idk3:1(<:<:e18j4252?10cnl50;&20686i=4n061>==428g7>h6<;0276al8;29 4242m90b<:=:`98kf1=83.:8>4k3:l2075$060>a596n54ob694?"6<:0o?6`>438g?>id;3:1(<:<:e18j4252l10cn<50;&20686i=4n061>46<3fi;6=4+1519`6=i9=81=<54ocd94?"6<:0o?6`>43826>=hjl0;6)?;3;f0?k73:3;876amd;29 4242m90b<:=:068?jdd290/=9=5d29m514=9<10cil50;&2066:9l`d<72-;??7j<;o376?7032eo57>5$060>a596<64;nf;>5<#9=91h>5a15095<=428g7>h6<;0:m65`d783>!73;3n87c?;2;3a?>ic=3:1(<:<:e18j42528i07bj>:18'515=l:1e=9<51e98kf0=83.:8>4k3:l207<6m21dno4?:%377?b43g;?>7?i;:mf4?6=,8>86ih4n061>5=428gb>h6<;0:76akd;29 4242ml0b<:=:398kae=83.:8>4kf:l207<432en97>5$060>`296=54od194?"6<:0n86`>4382?>ib:3:1(<:<:d68j4252;10ch?50;&206`><58?;643<58?;6?74=073>71<58?;6?84=073>73<58?;6><4=073>67<58?;6>>4=073>7`<58?;6?k4=073>7b<58?;6?m4=073>7d<58?;6?o4=073>72<58?;6;94=073>33<58?;6;=4=073>34<58?;6;?4=073>36<58?;68h4=073>0c<58?;68j4=073>0e<58?;68l4=073>0g<58?;6864=073>01<58?;6884=073>03<58?;68:4=073>05<58?;68<4=073>07<58?;68>4=073>1`<58?;6;k4=073>3b<58?;6;m4=073>3d<58?;6;o4=073>3?<58?;6;64=073>32<58?;6874=073>1c<58?;6564=073>=0<58?;65:4=073>=5<58?;65<4=073>=7<58?;65>4=073>2`<58?;6:k4=073>2b<58?;6:m4=073>2d<58?;6:74=073>2><58?;6:94=073>20<58?;6:;4=073>22<58?;6:=4=073>24<58?;6:?4=073>26<58?;65h4=073>=c<58?;65j4=073>=e<58?;65l4=073>=g<58?;6574=073>=3<58?;6:o4=073>3`<58?;64:4=073><4<58?;64?4=073><6<58?;6474=073><1<58?;6484=073><3<58?;6<;6;<364?72?27:9=4>579>506=9o63>51821g=:9<:1=8o4=073>17<58?;6h94=073>42d34;><7?;d:?214<6j27:9<4>5:?214<5127:9<4=7:?214<5>27:9<4=5:?214<4:27:9<4<1:?214<4827:9<4=f:?214<5m27:9<4=d:?214<5k27:9<4=b:?214<5i27:9<4=4:?214<1?27:9<495:?214<1;27:9<492:?214<1927:9<490:?214<2n27:9<4:e:?214<2l27:9<4:c:?214<2j27:9<4:a:?214<2027:9<4:7:?214<2>27:9<4:5:?214<2<27:9<4:3:?214<2:27:9<4:1:?214<2827:9<4;f:?214<1m27:9<49d:?214<1k27:9<49b:?214<1i27:9<499:?214<1027:9<494:?214<2127:9<4;e:?214<27:9<462:?214<>927:9<460:?214<>127:9<467:?214<>>27:9<465:?214<6=016=8?51458943628?=70?:1;361>;6=80:9h52143950e<58?:6<;m;<365?72i27:9<4;1:?2144b9>507=9=n0q~?76;296~X60?16=8>59`9~w4>02909wS?77:?215?34;><7l?;|q2<<<72;qU=574=073>g252z\25<5sW;3n63>508b1>{t91i1<78d83>7}Y91o01<;>:c68yv7?=3:1?vP>849>506=;j16=8?53b9~w40c2908wS?9d:?215<6k27:9<4>c:p527=839pR<9>;<364?7c34;>=7?k;|q237<72:qU=:<4=073>4c<58?:65214295c=:9<;1=k5rs057>5<4sW;<863>51814>;6=809<6s|16794?5|V8=>70?:0;02?872938:7p}>7783>6}Y9><01<;?:30894362;80q~?87;297~X6?>16=8>5229>507=::1v<97:180[70027:9=4>6:?214<6>2wx=:750;1xZ41>34;><7?8;<365?703ty::h4?:2y]53c<58?;6<64=072>4>53z\235=:9<:1=l5214395d=zug;2m7>51zJ217=zf83i6=4>{I366>{i90i1<7?tH071?xh61m0;6m3:1=vF>539~j4?a290:wE?:2:m5d6=83;pD<;=;|l2e4<728qC=8<4}o3b6?6=9rB:9?5rn0c0>5<6sA;>>6sa1`694?7|@8?97p`>a483>4}O9<80qc?n6;295~N6=;1vb51zJ217=zf8ki6=4>{I366>{i9hi1<7?tH071?xh6im0;6539~j4ga290:wE?:2:m5g6=83;pD<;=;|~yEFDs8i36l67e3;g4xFGJr:vLM^t}AB \ No newline at end of file
trunk/ipcore_dir/rom4kx8.ngc Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.sym =================================================================== --- trunk/ipcore_dir/rom4kx8.sym (nonexistent) +++ trunk/ipcore_dir/rom4kx8.sym (revision 6) @@ -0,0 +1,18 @@ + + + BLOCK + 2017-12-27T16:20:39 + + + + + rom4kx8 + + + + + + + + +
trunk/ipcore_dir/rom4kx8.sym Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.vhd =================================================================== --- trunk/ipcore_dir/rom4kx8.vhd (nonexistent) +++ trunk/ipcore_dir/rom4kx8.vhd (revision 6) @@ -0,0 +1,137 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used solely -- +-- for design, simulation, implementation and creation of design files -- +-- limited to Xilinx devices or technologies. Use with non-Xilinx -- +-- devices or technologies is expressly prohibited and immediately -- +-- terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- +-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- +-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- +-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- +-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- +-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- +-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- +-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- +-- PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support appliances, -- +-- devices, or systems. Use in such applications are expressly -- +-- prohibited. -- +-- -- +-- (c) Copyright 1995-2017 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file rom4kx8.vhd when simulating +-- the core, rom4kx8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +LIBRARY XilinxCoreLib; +-- synthesis translate_on +ENTITY rom4kx8 IS + PORT ( + clka : IN STD_LOGIC; + addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END rom4kx8; + +ARCHITECTURE rom4kx8_a OF rom4kx8 IS +-- synthesis translate_off +COMPONENT wrapped_rom4kx8 + PORT ( + clka : IN STD_LOGIC; + addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END COMPONENT; + +-- Configuration specification + FOR ALL : wrapped_rom4kx8 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) + GENERIC MAP ( + c_addra_width => 12, + c_addrb_width => 12, + c_algorithm => 0, + c_axi_id_width => 4, + c_axi_slave_type => 0, + c_axi_type => 1, + c_byte_size => 9, + c_common_clk => 0, + c_default_data => "76", + c_disable_warn_bhv_coll => 0, + c_disable_warn_bhv_range => 0, + c_enable_32bit_address => 0, + c_family => "spartan3", + c_has_axi_id => 0, + c_has_ena => 0, + c_has_enb => 0, + c_has_injecterr => 0, + c_has_mem_output_regs_a => 0, + c_has_mem_output_regs_b => 0, + c_has_mux_output_regs_a => 0, + c_has_mux_output_regs_b => 0, + c_has_regcea => 0, + c_has_regceb => 0, + c_has_rsta => 0, + c_has_rstb => 0, + c_has_softecc_input_regs_a => 0, + c_has_softecc_output_regs_b => 0, + c_init_file => "BlankString", + c_init_file_name => "no_coe_file_loaded", + c_inita_val => "0", + c_initb_val => "0", + c_interface_type => 0, + c_load_init_file => 0, + c_mem_type => 3, + c_mux_pipeline_stages => 0, + c_prim_type => 2, + c_read_depth_a => 4096, + c_read_depth_b => 4096, + c_read_width_a => 8, + c_read_width_b => 8, + c_rst_priority_a => "CE", + c_rst_priority_b => "CE", + c_rst_type => "SYNC", + c_rstram_a => 0, + c_rstram_b => 0, + c_sim_collision_check => "ALL", + c_use_bram_block => 0, + c_use_byte_wea => 0, + c_use_byte_web => 0, + c_use_default_data => 1, + c_use_ecc => 0, + c_use_softecc => 0, + c_wea_width => 1, + c_web_width => 1, + c_write_depth_a => 4096, + c_write_depth_b => 4096, + c_write_mode_a => "WRITE_FIRST", + c_write_mode_b => "WRITE_FIRST", + c_write_width_a => 8, + c_write_width_b => 8, + c_xdevicefamily => "spartan3a" + ); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_rom4kx8 + PORT MAP ( + clka => clka, + addra => addra, + douta => douta + ); +-- synthesis translate_on + +END rom4kx8_a;
trunk/ipcore_dir/rom4kx8.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.vho =================================================================== --- trunk/ipcore_dir/rom4kx8.vho (nonexistent) +++ trunk/ipcore_dir/rom4kx8.vho (revision 6) @@ -0,0 +1,73 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used solely -- +-- for design, simulation, implementation and creation of design files -- +-- limited to Xilinx devices or technologies. Use with non-Xilinx -- +-- devices or technologies is expressly prohibited and immediately -- +-- terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- +-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- +-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- +-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- +-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- +-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- +-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- +-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- +-- PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support appliances, -- +-- devices, or systems. Use in such applications are expressly -- +-- prohibited. -- +-- -- +-- (c) Copyright 1995-2017 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +-- Generated from core with identifier: xilinx.com:ip:blk_mem_gen:7.3 -- +-- -- +-- The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port -- +-- Block Memory and Single Port Block Memory LogiCOREs, but is not a -- +-- direct drop-in replacement. It should be used in all new Xilinx -- +-- designs. The core supports RAM and ROM functions over a wide range of -- +-- widths and depths. Use this core to generate block memories with -- +-- symmetric or asymmetric read and write port widths, as well as cores -- +-- which can perform simultaneous write operations to separate -- +-- locations, and simultaneous read operations from the same location. -- +-- For more information on differences in interface and feature support -- +-- between this core and the Dual Port Block Memory and Single Port -- +-- Block Memory LogiCOREs, please consult the data sheet. -- +-------------------------------------------------------------------------------- + +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +COMPONENT rom4kx8 + PORT ( + clka : IN STD_LOGIC; + addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END COMPONENT; +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : rom4kx8 + PORT MAP ( + clka => clka, + addra => addra, + douta => douta + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file rom4kx8.vhd when simulating +-- the core, rom4kx8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". +
trunk/ipcore_dir/rom4kx8.vho Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.xco =================================================================== --- trunk/ipcore_dir/rom4kx8.xco (nonexistent) +++ trunk/ipcore_dir/rom4kx8.xco (revision 6) @@ -0,0 +1,108 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Wed Dec 27 16:19:36 2017 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:blk_mem_gen:7.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = VHDL +SET device = xc3s200a +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = vq100 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = false +SET vhdlsim = true +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:7.3 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Fixed_Primitives +CSET assume_synchronous_clk=false +CSET axi_id_width=4 +CSET axi_slave_type=Memory_Slave +CSET axi_type=AXI4_Full +CSET byte_size=9 +CSET coe_file=no_coe_file_loaded +CSET collision_warnings=ALL +CSET component_name=rom4kx8 +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET ecctype=No_ECC +CSET enable_32bit_address=false +CSET enable_a=Always_Enabled +CSET enable_b=Always_Enabled +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=true +CSET interface_type=Native +CSET load_init_file=false +CSET mem_file=no_Mem_file_loaded +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=0 +CSET port_b_clock=0 +CSET port_b_enable_rate=0 +CSET port_b_write_rate=0 +CSET primitive=4kx4 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_input_of_softecc=false +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET register_portb_output_of_softecc=false +CSET remaining_memory_locations=76 +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET softecc=false +CSET use_axi_id=false +CSET use_bram_block=Stand_Alone +CSET use_byte_write_enable=false +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=4096 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T16:22:25Z +# END Extra information +GENERATE +# CRC: d0165d7a
trunk/ipcore_dir/rom4kx8.xco Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8.xise =================================================================== --- trunk/ipcore_dir/rom4kx8.xise (nonexistent) +++ trunk/ipcore_dir/rom4kx8.xise (revision 6) @@ -0,0 +1,74 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
trunk/ipcore_dir/rom4kx8.xise Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8_flist.txt =================================================================== --- trunk/ipcore_dir/rom4kx8_flist.txt (nonexistent) +++ trunk/ipcore_dir/rom4kx8_flist.txt (revision 6) @@ -0,0 +1,55 @@ +# Output products list for +_xmsgs\pn_parser.xmsgs +rom4kx8.asy +rom4kx8.gise +rom4kx8.ngc +rom4kx8.sym +rom4kx8.vhd +rom4kx8.vho +rom4kx8.xco +rom4kx8.xise +rom4kx8\blk_mem_gen_v7_3_readme.txt +rom4kx8\doc\blk_mem_gen_v7_3_vinfo.html +rom4kx8\doc\pg058-blk-mem-gen.pdf +rom4kx8\example_design\rom4kx8_exdes.ucf +rom4kx8\example_design\rom4kx8_exdes.vhd +rom4kx8\example_design\rom4kx8_exdes.xdc +rom4kx8\example_design\rom4kx8_prod.vhd +rom4kx8\implement\implement.bat +rom4kx8\implement\implement.sh +rom4kx8\implement\planAhead_ise.bat +rom4kx8\implement\planAhead_ise.sh +rom4kx8\implement\planAhead_ise.tcl +rom4kx8\implement\xst.prj +rom4kx8\implement\xst.scr +rom4kx8\simulation\addr_gen.vhd +rom4kx8\simulation\bmg_stim_gen.vhd +rom4kx8\simulation\bmg_tb_pkg.vhd +rom4kx8\simulation\functional\simcmds.tcl +rom4kx8\simulation\functional\simulate_isim.bat +rom4kx8\simulation\functional\simulate_mti.bat +rom4kx8\simulation\functional\simulate_mti.do +rom4kx8\simulation\functional\simulate_mti.sh +rom4kx8\simulation\functional\simulate_ncsim.sh +rom4kx8\simulation\functional\simulate_vcs.sh +rom4kx8\simulation\functional\ucli_commands.key +rom4kx8\simulation\functional\vcs_session.tcl +rom4kx8\simulation\functional\wave_mti.do +rom4kx8\simulation\functional\wave_ncsim.sv +rom4kx8\simulation\random.vhd +rom4kx8\simulation\rom4kx8_synth.vhd +rom4kx8\simulation\rom4kx8_tb.vhd +rom4kx8\simulation\timing\simcmds.tcl +rom4kx8\simulation\timing\simulate_isim.bat +rom4kx8\simulation\timing\simulate_mti.bat +rom4kx8\simulation\timing\simulate_mti.do +rom4kx8\simulation\timing\simulate_mti.sh +rom4kx8\simulation\timing\simulate_ncsim.sh +rom4kx8\simulation\timing\simulate_vcs.sh +rom4kx8\simulation\timing\ucli_commands.key +rom4kx8\simulation\timing\vcs_session.tcl +rom4kx8\simulation\timing\wave_mti.do +rom4kx8\simulation\timing\wave_ncsim.sv +rom4kx8_flist.txt +rom4kx8_xmdf.tcl +summary.log
trunk/ipcore_dir/rom4kx8_flist.txt Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/rom4kx8_xmdf.tcl =================================================================== --- trunk/ipcore_dir/rom4kx8_xmdf.tcl (nonexistent) +++ trunk/ipcore_dir/rom4kx8_xmdf.tcl (revision 6) @@ -0,0 +1,243 @@ +# The package naming convention is _xmdf +package provide rom4kx8_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::rom4kx8_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::rom4kx8_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name rom4kx8 +} +# ::rom4kx8_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::rom4kx8_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/blk_mem_gen_v7_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/doc/blk_mem_gen_v7_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/doc/pg058-blk-mem-gen.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/example_design/rom4kx8_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/example_design/rom4kx8_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/example_design/rom4kx8_exdes.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/example_design/rom4kx8_prod.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/addr_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/bmg_stim_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/bmg_tb_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/random.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/rom4kx8_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/rom4kx8_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path rom4kx8_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path summary.log +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module rom4kx8 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams
trunk/ipcore_dir/rom4kx8_xmdf.tcl Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/ipcore_dir/summary.log =================================================================== --- trunk/ipcore_dir/summary.log (nonexistent) +++ trunk/ipcore_dir/summary.log (revision 6) @@ -0,0 +1,18 @@ + +User Configuration +------------------------------------- +Algorithm : Minimum_Area +Memory Type : Single_Port_RAM +Port A Read Width : 8 +Port A Write Width : 8 +Memory Depth : 256 +-------------------------------------------------------------- + +Block RAM resource(s) (18K BRAMs) : 1 +-------------------------------------------------------------- +Clock A Frequency : 100 +Port A Enable Rate : 100 +Port A Write Rate : 50 +---------------------------------------------------------- +Estimated Power for IP : 6.57733 mW +---------------------------------------------------------- Index: trunk/P1040558.JPG =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: trunk/P1040558.JPG =================================================================== --- trunk/P1040558.JPG (nonexistent) +++ trunk/P1040558.JPG (revision 6)
trunk/P1040558.JPG Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: trunk/baseboard.ucf =================================================================== --- trunk/baseboard.ucf (nonexistent) +++ trunk/baseboard.ucf (revision 6) @@ -0,0 +1,94 @@ +# __ ____ _ __ +# / |/ (_)_____________ / | / /___ _ ______ _ +# / /|_/ / / ___/ ___/ __ \/ |/ / __ \ | / / __ `/ +# / / / / / /__/ / / /_/ / /| / /_/ / |/ / /_/ / +# /_/ /_/_/\___/_/ \____/_/ |_/\____/|___/\__,_/ +# +# Mercury BASEBOARD User Constraints File +# Revision 1.0.0 (03/25/2015) +# Copyright (c) 2015 MicroNova, LLC +# www.micro-nova.com + +# system oscillators +NET "EXT_CLK" LOC = "P44" | IOSTANDARD = LVTTL ; +NET "CLK" LOC = "P43" | IOSTANDARD = LVTTL ; +NET "CLK" TNM_NET = "CLK"; +TIMESPEC "TS_CLK" = PERIOD "CLK" 20 ns HIGH 50 %; + +# PS/2 +NET "PS2_DATA" LOC = "P13" | IOSTANDARD = LVTTL ; +NET "PS2_CLK" LOC = "P15" | IOSTANDARD = LVTTL ; + +# Buttons +NET "USR_BTN" LOC = "P41" | IOSTANDARD = LVTTL ; +NET "BTN<0>" LOC = "P68" | IOSTANDARD = LVTTL ; +NET "BTN<1>" LOC = "P97" | IOSTANDARD = LVTTL ; +NET "BTN<2>" LOC = "P7" | IOSTANDARD = LVTTL ; +NET "BTN<3>" LOC = "P82" | IOSTANDARD = LVTTL ; + +# VGA +NET "RED<0>" LOC = "P20" | IOSTANDARD = LVTTL ; +NET "RED<1>" LOC = "P32" | IOSTANDARD = LVTTL ; +NET "RED<2>" LOC = "P33" | IOSTANDARD = LVTTL ; +NET "GRN<0>" LOC = "P34" | IOSTANDARD = LVTTL ; +NET "GRN<1>" LOC = "P35" | IOSTANDARD = LVTTL ; +NET "GRN<2>" LOC = "P36" | IOSTANDARD = LVTTL ; +NET "BLU<0>" LOC = "P37" | IOSTANDARD = LVTTL ; +NET "BLU<1>" LOC = "P40" | IOSTANDARD = LVTTL ; +NET "HSYNC" LOC = "P16" | IOSTANDARD = LVTTL ; +NET "VSYNC" LOC = "P19" | IOSTANDARD = LVTTL ; + +# SWITCHES +NET "SW<0>" LOC = "P59" | IOSTANDARD = LVTTL ; +NET "SW<1>" LOC = "P60" | IOSTANDARD = LVTTL ; +NET "SW<2>" LOC = "P61" | IOSTANDARD = LVTTL ; +NET "SW<3>" LOC = "P62" | IOSTANDARD = LVTTL ; +NET "SW<4>" LOC = "P64" | IOSTANDARD = LVTTL ; +NET "SW<5>" LOC = "P57" | IOSTANDARD = LVTTL ; +NET "SW<6>" LOC = "P56" | IOSTANDARD = LVTTL ; +NET "SW<7>" LOC = "P52" | IOSTANDARD = LVTTL ; + +# 7 SEG +NET "AN<0>" LOC = "P50" | IOSTANDARD = LVTTL ; +NET "AN<1>" LOC = "P49" | IOSTANDARD = LVTTL ; +NET "AN<2>" LOC = "P85" | IOSTANDARD = LVTTL ; +NET "AN<3>" LOC = "P84" | IOSTANDARD = LVTTL ; +NET "A_TO_G<0>" LOC = "P72" | IOSTANDARD = LVTTL ; +NET "A_TO_G<1>" LOC = "P71" | IOSTANDARD = LVTTL ; +NET "A_TO_G<2>" LOC = "P70" | IOSTANDARD = LVTTL ; +NET "A_TO_G<3>" LOC = "P65" | IOSTANDARD = LVTTL ; +NET "A_TO_G<4>" LOC = "P77" | IOSTANDARD = LVTTL ; +NET "A_TO_G<5>" LOC = "P78" | IOSTANDARD = LVTTL ; +NET "A_TO_G<6>" LOC = "P83" | IOSTANDARD = LVTTL ; +NET "DOT" LOC = "P73" | IOSTANDARD = LVTTL ; + +# PMOD +NET "PMOD<0>" LOC = "P5" | IOSTANDARD = LVTTL ; +NET "PMOD<1>" LOC = "P4" | IOSTANDARD = LVTTL ; +NET "PMOD<2>" LOC = "P6" | IOSTANDARD = LVTTL ; +NET "PMOD<3>" LOC = "P98" | IOSTANDARD = LVTTL ; +NET "PMOD<4>" LOC = "P94" | IOSTANDARD = LVTTL ; +NET "PMOD<5>" LOC = "P93" | IOSTANDARD = LVTTL ; +NET "PMOD<6>" LOC = "P90" | IOSTANDARD = LVTTL ; +NET "PMOD<7>" LOC = "P89" | IOSTANDARD = LVTTL ; + +# AUDIO OUT +NET "AUDIO_OUT_R" LOC = "P88" | IOSTANDARD = LVTTL ; +NET "AUDIO_OUT_L" LOC = "P86" | IOSTANDARD = LVTTL ; + +# memory & bus-switch +NET "SWITCH_OEN" LOC = "P3" | IOSTANDARD = LVTTL ; +NET "MEMORY_OEN" LOC = "P30" | IOSTANDARD = LVTTL ; + +# flash/usb interface +NET "FPGA_CSN" LOC = "P39" | IOSTANDARD = LVTTL ; +NET "FLASH_CSN" LOC = "P27" | IOSTANDARD = LVTTL ; +NET "SPI_MOSI" LOC = "P46" | IOSTANDARD = LVTTL ; +NET "SPI_MISO" LOC = "P51" | IOSTANDARD = LVTTL ; +NET "SPI_SCK" LOC = "P53" | IOSTANDARD = LVTTL ; + +# ADC interface +NET "ADC_MISO" LOC = "P21" | IOSTANDARD = LVTTL ; +NET "ADC_MOSI" LOC = "P10" | IOSTANDARD = LVTTL ; +NET "ADC_SCK" LOC = "P9" | IOSTANDARD = LVTTL ; +NET "ADC_CSN" LOC = "P12" | IOSTANDARD = LVTTL ;
trunk/baseboard.ucf Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/clock_divider.vhd =================================================================== --- trunk/clock_divider.vhd (nonexistent) +++ trunk/clock_divider.vhd (revision 6) @@ -0,0 +1,70 @@ +---------------------------------------------------------------------------------- +-- Company: @Home +-- Engineer: Zoltan Pekic (zpekic@hotmail.com) +-- +-- Create Date: 16:56:54 02/13/2016 +-- Design Name: +-- Module Name: clock_divider - rtl +-- Project Name: Alarm Clock +-- Target Devices: Mercury FPGA + Baseboard (http://www.micro-nova.com/mercury/) +-- Tool versions: Xilinx ISE 14.7 (nt64) +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity clock_divider is + Port ( reset : in STD_LOGIC; + clock : in STD_LOGIC; + slow : out STD_LOGIC_VECTOR (11 downto 0); + fast : out STD_LOGIC_VECTOR(3 downto 0) + ); +end clock_divider; + +architecture rtl of clock_divider is + constant max_count: integer := (100000000 / 4096); -- prescale + signal count: integer range 0 to max_count := 0; + signal slow_cnt: unsigned(11 downto 0); + signal fast_cnt: unsigned(3 downto 0); + +begin + + divider: process(clock, reset) + begin + if reset = '1' then + count <= 0; + slow_cnt <= "000000000000"; + fast_cnt <= "0000"; + else + if rising_edge(clock) then + fast_cnt <= fast_cnt + 1; + if count = max_count then + count <= 0; + slow_cnt <= slow_cnt + 1; + else + count <= count + 1; + end if; + end if; + end if; + end process; + -- connect divider output with internal counter + slow <= std_logic_vector(slow_cnt); + fast <= std_logic_vector(fast_cnt); +end rtl; +
trunk/clock_divider.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +CRLF \ No newline at end of property Index: trunk/counter16bit.vhd =================================================================== --- trunk/counter16bit.vhd (nonexistent) +++ trunk/counter16bit.vhd (revision 6) @@ -0,0 +1,73 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 08/26/2017 09:54:45 AM +-- Design Name: +-- Module Name: counter16bit - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity counter16bit is + Port ( reset : in STD_LOGIC; + clk : in STD_LOGIC; + mode : in STD_LOGIC_VECTOR (1 downto 0); + d : in STD_LOGIC_VECTOR (31 downto 0); + q : out STD_LOGIC_VECTOR (31 downto 0)); +end counter16bit; + +architecture Behavioral of counter16bit is + +signal count: std_logic_vector(31 downto 0); + +begin + +q <= count; + +update: process (reset, clk, mode, d) +begin + if (reset = '1') then -- async reset + count <= X"00000000"; + else + if (rising_edge(clk)) then + case (mode) is + when "00" => -- no change + count <= count; + when "01" => -- increment + count <= std_logic_vector(unsigned(count) + 1); + when "10" => -- decrement + count <= std_logic_vector(unsigned(count) - 1); + when "11" => -- synchronous set + count <= d; + when others => + null; + end case; + end if; + end if; + +end process; + +end Behavioral;
trunk/counter16bit.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/debouncer.vhd =================================================================== --- trunk/debouncer.vhd (nonexistent) +++ trunk/debouncer.vhd (revision 6) @@ -0,0 +1,84 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 22:58:22 10/22/2016 +-- Design Name: +-- Module Name: debouncer - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity debouncer is + Port ( clock : in STD_LOGIC; + reset : in STD_LOGIC; + signal_in : in STD_LOGIC; + signal_out : out STD_LOGIC); +end debouncer; + +architecture Behavioral of debouncer is + +signal debounced: std_logic; +signal shifter: std_logic_vector(7 downto 0); +signal all0, all1: std_logic; + +begin + +all0 <= '1' when shifter = "00000000" else '0'; +all1 <= '1' when shifter = "11111111" else '0'; + +-- all 1 or all 0 in shift register surely mean 1 or 0, but anything else keeps last state +--debounced <= (not all1 and not all0 and debounced) or +-- (not all1 and all0 and '0') or +-- (all1 and not all0 and '1') or +-- (all1 and all1 and debounced); +signal_out <= debounced; + +debounce: process(clock, debounced) +begin + if (rising_edge(clock)) then + if (all1 = '1') then + debounced <= '1'; + else + if (all0 = '1') then + debounced <= '0'; + else + debounced <= debounced; + end if; + end if; + end if; +end process; + +sample: process(clock, reset, signal_in) +begin + if (reset = '1') then + shifter <= "11111111"; + else + if (clock'event and clock = '1') then + shifter <= shifter(6 downto 0) & signal_in; + end if; + end if; +end process; + +end; +
trunk/debouncer.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +CRLF \ No newline at end of property Index: trunk/debouncer8channel.vhd =================================================================== --- trunk/debouncer8channel.vhd (nonexistent) +++ trunk/debouncer8channel.vhd (revision 6) @@ -0,0 +1,100 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 09/12/2017 10:40:36 PM +-- Design Name: +-- Module Name: debouncer8channel - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity debouncer8channel is + Port ( clock : in STD_LOGIC; + reset : in STD_LOGIC; + signal_raw : in STD_LOGIC_VECTOR (7 downto 0); + signal_debounced : out STD_LOGIC_VECTOR (7 downto 0)); +end debouncer8channel; + +architecture Behavioral of debouncer8channel is + +component debouncer is + Port ( clock : in STD_LOGIC; + reset : in STD_LOGIC; + signal_in : in STD_LOGIC; + signal_out : out STD_LOGIC); +end component; + +begin + d0: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(0), + signal_out => signal_debounced(0) + ); + d1: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(1), + signal_out => signal_debounced(1) + ); + d2: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(2), + signal_out => signal_debounced(2) + ); + d3: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(3), + signal_out => signal_debounced(3) + ); + d4: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(4), + signal_out => signal_debounced(4) + ); + d5: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(5), + signal_out => signal_debounced(5) + ); + d6: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(6), + signal_out => signal_debounced(6) + ); + d7: debouncer port map ( + reset => reset, + clock => clock, + signal_in => signal_raw(7), + signal_out => signal_debounced(7) + ); + +end Behavioral;
trunk/debouncer8channel.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +CRLF \ No newline at end of property Index: trunk/desktop.ini =================================================================== --- trunk/desktop.ini (nonexistent) +++ trunk/desktop.ini (revision 6) @@ -0,0 +1,2 @@ +[LocalizedFileNames] +sys9080.bit=@sys9080.bit,0
trunk/desktop.ini Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/fourdigitsevensegled.vhd =================================================================== --- trunk/fourdigitsevensegled.vhd (nonexistent) +++ trunk/fourdigitsevensegled.vhd (revision 6) @@ -0,0 +1,101 @@ +---------------------------------------------------------------------------------- +-- Company: @Home +-- Engineer: Zoltan Pekic (zpekic@hotmail.com) +-- +-- Create Date: 15:42:44 02/20/2016 +-- Design Name: +-- Module Name: fourdigitsevensegled - Behavioral +-- Project Name: Alarm Clock +-- Target Devices: Mercury FPGA + Baseboard (http://www.micro-nova.com/mercury/) +-- Tool versions: Xilinx ISE 14.7 (nt64) +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity fourdigitsevensegled is + Port ( -- inputs + data : in STD_LOGIC_VECTOR (15 downto 0); + digsel : in STD_LOGIC_VECTOR (1 downto 0); + showdigit : in STD_LOGIC_VECTOR (3 downto 0); + showdot : in STD_LOGIC_VECTOR (3 downto 0); + showsegments : in STD_LOGIC; + -- outputs + anode : out STD_LOGIC_VECTOR (3 downto 0); + segment : out STD_LOGIC_VECTOR (7 downto 0) + ); +end fourdigitsevensegled; + +architecture structural of fourdigitsevensegled is + +component nibble2sevenseg is + Port ( nibble : in STD_LOGIC_VECTOR (3 downto 0); + segment : out STD_LOGIC_VECTOR (6 downto 0) + ); +end component; + +component mux16to4 + Port ( a : in STD_LOGIC_VECTOR (3 downto 0); + b : in STD_LOGIC_VECTOR (3 downto 0); + c : in STD_LOGIC_VECTOR (3 downto 0); + d : in STD_LOGIC_VECTOR (3 downto 0); + sel : in STD_LOGIC_VECTOR (1 downto 0); + nEnable : in STD_LOGIC; + y : out STD_LOGIC_VECTOR (3 downto 0) + ); +end component; + +signal internalsegment: std_logic_vector(7 downto 0); -- 7th is the dot! +signal internalsel: std_logic_vector(3 downto 0); +signal digit: std_logic_vector(3 downto 0); + +begin +-- decode position + internalsel(3) <= digsel(1) and digsel(0); + internalsel(2) <= digsel(1) and (not digsel(0)); + internalsel(1) <= (not digsel(1)) and digsel(0); + internalsel(0) <= (not digsel(1)) and (not digsel(0)); +-- select 1 digit out of 4 incoming + digitmux: mux16to4 port map ( + a => data(3 downto 0), + b => data(7 downto 4), + c => data(11 downto 8), + d => data(15 downto 12), + nEnable => '0', + sel => digsel, + y => digit + ); +-- set the anodes with digit blanking + anode(3) <= not (internalsel(3) and showdigit(3)); + anode(2) <= not (internalsel(2) and showdigit(2)); + anode(1) <= not (internalsel(1) and showdigit(1)); + anode(0) <= not (internalsel(0) and showdigit(0)); +-- hook up the cathodes + sevensegdriver: nibble2sevenseg port map ( + nibble => digit, + segment => internalsegment(6 downto 0) + ); +-- set cathodes with blanking (seg7 == dot) + segment(7) <= (not showsegments) or ((internalsel(3) and not showdot(3)) or (internalsel(2) and not showdot(2)) or (internalsel(1) and not showdot(1)) or (internalsel(0) and not showdot(0))); + segs: for i in 6 downto 0 generate + segment(i) <= (not showsegments) or internalsegment(i); + end generate; + +end structural; +
trunk/fourdigitsevensegled.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +CRLF \ No newline at end of property Index: trunk/hexfilerom.vhd =================================================================== --- trunk/hexfilerom.vhd (nonexistent) +++ trunk/hexfilerom.vhd (revision 6) @@ -0,0 +1,273 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:56:00 11/12/2017 +-- Design Name: +-- Module Name: hexfilerom - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use STD.textio.all; +use ieee.std_logic_textio.all; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity hexfilerom is + generic ( + filename: string := ""; + address_size: positive := 8; + default_value: STD_LOGIC_VECTOR(7 downto 0) := X"FF"); + Port ( + D : out STD_LOGIC_VECTOR (7 downto 0); + A : in STD_LOGIC_VECTOR ((address_size - 1) downto 0); + nRead : in STD_LOGIC; + nSelect : in STD_LOGIC); +end hexfilerom; + +architecture Behavioral of hexfilerom is + +COMPONENT rom4kx8 + PORT ( + clka : IN STD_LOGIC; + addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); + douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END COMPONENT; + +type bytememory is array(0 to (2 ** address_size) - 1) of std_logic_vector(7 downto 0); + +impure function init_inlinememory(depth: in integer; default_value: std_logic_vector(7 downto 0)) return bytememory is +variable temp_mem : bytememory; +variable i: integer range 0 to (depth - 1); +variable location: std_logic_vector(7 downto 0); + +begin + -- fill with default value + for i in 0 to depth - 1 loop + location := std_logic_vector(to_unsigned(i, 8)); + case location is + ------- RST0 @ 0x00 -------- + when X"00" => + temp_mem(i) := X"F3"; -- DI + when X"01" => + temp_mem(i) := X"21"; -- LXI H, 0x0000 + when X"02" => + temp_mem(i) := X"00"; + when X"03" => + temp_mem(i) := X"00"; + when X"04" => + temp_mem(i) := X"2B"; -- DCX H + when X"05" => + temp_mem(i) := X"F9"; -- SPHL + when X"06" => + temp_mem(i) := X"FB"; -- EI + when X"07" => + temp_mem(i) := X"00"; -- NOP + when X"08" => + temp_mem(i) := X"AF"; -- XRA A + when X"09" => + temp_mem(i) := X"37"; -- STC + when X"0A" => + temp_mem(i) := X"76"; -- HLT ; interrupt is needed to go further + when X"0B" => + temp_mem(i) := X"01"; -- DeadLoop: LXI B, 0x0D20; set C to ASCII space + when X"0C" => + temp_mem(i) := X"20"; + when X"0D" => + temp_mem(i) := X"0D"; + when X"0E" => + temp_mem(i) := X"79"; -- SendNextChar: MOV A, C + when X"0F" => + temp_mem(i) := X"D3"; -- OUT 0x00; send char + when X"10" => + temp_mem(i) := X"00"; + when X"11" => + temp_mem(i) := X"FE"; -- CPI 07FH; end of printable chars reached? + when X"12" => + temp_mem(i) := X"7F"; + when X"13" => + temp_mem(i) := X"F2"; -- JP NextLine + when X"14" => + temp_mem(i) := X"1A"; + when X"15" => + temp_mem(i) := X"00"; + when X"16" => + temp_mem(i) := X"0C"; -- INR C + when X"17" => + temp_mem(i) := X"C3"; -- JMP SendNextChar + when X"18" => + temp_mem(i) := X"0E"; + when X"19" => + temp_mem(i) := X"00"; + when X"1A" => + temp_mem(i) := X"78"; -- NextLine: MOV A, B + when X"1B" => + temp_mem(i) := X"D3"; -- OUT 0x00; send char + when X"1C" => + temp_mem(i) := X"00"; + when X"1D" => + temp_mem(i) := X"EE"; -- XRI A, 00000110B + when X"1E" => + temp_mem(i) := X"06"; + when X"1F" => + temp_mem(i) := X"D3"; -- OUT 0x00; send char + when X"20" => + temp_mem(i) := X"00"; + when X"21" => + temp_mem(i) := X"C3"; -- JMP DeadLoop + when X"22" => + temp_mem(i) := X"0B"; + when X"23" => + temp_mem(i) := X"00"; + when X"24" => + temp_mem(i) := X"00"; + when X"25" => + temp_mem(i) := X"00"; + when X"26" => + temp_mem(i) := X"00"; + when X"27" => + temp_mem(i) := X"00"; + ------- RST5 @ 0x28 -------- + when X"28" => + temp_mem(i) := X"C3"; -- JMP RST7 + when X"29" => + temp_mem(i) := X"38"; + when X"2A" => + temp_mem(i) := X"00"; + ------- RST6 @ 0x30 -------- + when X"30" => + temp_mem(i) := X"C3"; -- JMP RST7 + when X"31" => + temp_mem(i) := X"38"; + when X"32" => + temp_mem(i) := X"00"; + ------- RST7 @ 0x38 -------- + when X"38" => + temp_mem(i) := X"F3"; -- DI + when X"39" => + temp_mem(i) := X"F5"; -- PUSH PSW + when X"3A" => + temp_mem(i) := X"E5"; -- PUSH H + when X"3B" => + temp_mem(i) := X"3E"; -- MVI A, '*' + when X"3C" => + temp_mem(i) := X"2A"; + when X"3D" => + temp_mem(i) := X"D3"; -- OUT 00H + when X"3E" => + temp_mem(i) := X"00"; + when X"3F" => + temp_mem(i) := X"E1"; -- POP H + when X"40" => + temp_mem(i) := X"F1"; -- POP PSW + when X"41" => + temp_mem(i) := X"FB"; -- RETI: EI + when X"42" => + temp_mem(i) := X"C9"; -- RET + when X"43" => + temp_mem(i) := X"00"; -- NOP + ----------------------------- + when others => + temp_mem(i) := default_value; + end case; + end loop; + + return temp_mem; + +end init_inlinememory; + +impure function init_filememory(file_name : in string; depth: in integer; default_value: std_logic_vector(7 downto 0)) return bytememory is +variable temp_mem : bytememory; +variable i, addr_start, addr_end: integer range 0 to (depth - 1); +variable location: std_logic_vector(7 downto 0); +file input_file : text open read_mode is file_name; +variable input_line : line; +variable line_current: integer := 0; +variable address: std_logic_vector(15 downto 0); +variable byte_count, record_type, byte_value: std_logic_vector(7 downto 0); +variable firstChar: character; +variable count: integer; +variable isOk: boolean; + +begin + -- fill with default value + for i in 0 to depth - 1 loop + temp_mem(i) := default_value; + end loop; + + -- parse the file for the data + -- format described here: https://en.wikipedia.org/wiki/Intel_HEX + assert false report file_name & ": loading up to " & integer'image(depth) & " bytes." severity note; + loop + line_current := line_current + 1; + readline (input_file, input_line); + exit when endfile(input_file); --till the end of file is reached continue. + + read(input_line, firstChar); + if (firstChar = ':') then + hread(input_line, byte_count); + hread(input_line, address); + hread(input_line, record_type); + case record_type is + when X"00" => -- DATA + count := to_integer(unsigned(byte_count)); + if (count > 0) then + addr_start := to_integer(unsigned(address)); + addr_end := addr_start + to_integer(unsigned(byte_count)) - 1; + report file_name & ": parsing line " & integer'image(line_current) & " for " & integer'image(count) & " bytes at address " & integer'image(addr_start) severity note; + for i in addr_start to addr_end loop + hread(input_line, byte_value); + if (i < depth) then + temp_mem(i) := byte_value; + else + report file_name & ": line " & integer'image(line_current) & " data beyond memory capacity ignored" severity note; + end if; + end loop; + else + report file_name & ": line " & integer'image(line_current) & " has no data" severity note; + end if; + when X"01" => -- EOF + report file_name & ": line " & integer'image(line_current) & " eof record type detected" severity note; + exit; + when others => + report file_name & ": line " & integer'image(line_current) & " unsupported record type detected" severity failure; + end case; + else + report file_name & ": line " & integer'image(line_current) & " does not start with ':' " severity failure; + end if; + end loop; -- next line in file + + file_close(input_file); + + return temp_mem; + +end init_filememory; + +signal rom: bytememory := init_filememory(filename, 2 ** address_size, default_value); +--signal rom: bytememory := init_inlinememory(2 ** address_size, default_value); +attribute ram_style: string; +attribute ram_style of rom: signal is "block"; + +begin + D <= rom(to_integer(unsigned(A))) when (nRead = '0' and nSelect = '0') else "ZZZZZZZZ"; +end Behavioral; +
trunk/hexfilerom.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +CRLF \ No newline at end of property Index: trunk/mercury.ucf =================================================================== --- trunk/mercury.ucf (nonexistent) +++ trunk/mercury.ucf (revision 6) @@ -0,0 +1,90 @@ +# __ ____ _ __ +# / |/ (_)_____________ / | / /___ _ ______ _ +# / /|_/ / / ___/ ___/ __ \/ |/ / __ \ | / / __ `/ +# / / / / / /__/ / / /_/ / /| / /_/ / |/ / /_/ / +# /_/ /_/_/\___/_/ \____/_/ |_/\____/|___/\__,_/ +# +# Mercury User Constraints File +# Revision 1.0.142 (10/24/2012) +# Copyright (c) 2012 MicroNova, LLC +# www.micro-nova.com + +# system oscillator +NET "CLK" LOC = "P43" | IOSTANDARD = LVTTL ; +NET "CLK" TNM_NET = "CLK"; +TIMESPEC "TS_CLK" = PERIOD "CLK" 20 ns HIGH 50 %; + +# user LEDs and button +NET "LED<0>" LOC = "P13" | IOSTANDARD = LVTTL ; +NET "LED<1>" LOC = "P15" | IOSTANDARD = LVTTL ; +NET "LED<2>" LOC = "P16" | IOSTANDARD = LVTTL ; +NET "LED<3>" LOC = "P19" | IOSTANDARD = LVTTL ; +NET "BTN" LOC = "P41" | IOSTANDARD = LVTTL ; + +# direct and global-clock I/O +NET "DIO<0>" LOC = "P20" | IOSTANDARD = LVTTL ; +NET "DIO<1>" LOC = "P32" | IOSTANDARD = LVTTL ; +NET "DIO<2>" LOC = "P33" | IOSTANDARD = LVTTL ; +NET "DIO<3>" LOC = "P34" | IOSTANDARD = LVTTL ; +NET "DIO<4>" LOC = "P35" | IOSTANDARD = LVTTL ; +NET "DIO<5>" LOC = "P36" | IOSTANDARD = LVTTL ; +NET "DIO<6>" LOC = "P37" | IOSTANDARD = LVTTL ; +NET "CIO<0>" LOC = "P40" | IOSTANDARD = LVTTL ; +NET "CIO<1>" LOC = "P44" | IOSTANDARD = LVTTL ; + +# in-only pins +NET "INPIN<0>" LOC = "P68" | IOSTANDARD = LVTTL ; +NET "INPIN<1>" LOC = "P97" | IOSTANDARD = LVTTL ; +NET "INPIN<2>" LOC = "P7" | IOSTANDARD = LVTTL ; +NET "INPIN<3>" LOC = "P82" | IOSTANDARD = LVTTL ; + +# level-shifted I/O +NET "IO<0>" LOC = "P59" | IOSTANDARD = LVTTL ; +NET "IO<1>" LOC = "P60" | IOSTANDARD = LVTTL ; +NET "IO<2>" LOC = "P61" | IOSTANDARD = LVTTL ; +NET "IO<3>" LOC = "P62" | IOSTANDARD = LVTTL ; +NET "IO<4>" LOC = "P64" | IOSTANDARD = LVTTL ; +NET "IO<5>" LOC = "P57" | IOSTANDARD = LVTTL ; +NET "IO<6>" LOC = "P56" | IOSTANDARD = LVTTL ; +NET "IO<7>" LOC = "P52" | IOSTANDARD = LVTTL ; +NET "IO<8>" LOC = "P50" | IOSTANDARD = LVTTL ; +NET "IO<9>" LOC = "P49" | IOSTANDARD = LVTTL ; +NET "IO<10>" LOC = "P85" | IOSTANDARD = LVTTL ; +NET "IO<11>" LOC = "P84" | IOSTANDARD = LVTTL ; +NET "IO<12>" LOC = "P83" | IOSTANDARD = LVTTL ; +NET "IO<13>" LOC = "P78" | IOSTANDARD = LVTTL ; +NET "IO<14>" LOC = "P77" | IOSTANDARD = LVTTL ; +NET "IO<15>" LOC = "P65" | IOSTANDARD = LVTTL ; +NET "IO<16>" LOC = "P70" | IOSTANDARD = LVTTL ; +NET "IO<17>" LOC = "P71" | IOSTANDARD = LVTTL ; +NET "IO<18>" LOC = "P72" | IOSTANDARD = LVTTL ; +NET "IO<19>" LOC = "P73" | IOSTANDARD = LVTTL ; +NET "IO<20>" LOC = "P5" | IOSTANDARD = LVTTL ; +NET "IO<21>" LOC = "P4" | IOSTANDARD = LVTTL ; +NET "IO<22>" LOC = "P6" | IOSTANDARD = LVTTL ; +NET "IO<23>" LOC = "P98" | IOSTANDARD = LVTTL ; +NET "IO<24>" LOC = "P94" | IOSTANDARD = LVTTL ; +NET "IO<25>" LOC = "P93" | IOSTANDARD = LVTTL ; +NET "IO<26>" LOC = "P90" | IOSTANDARD = LVTTL ; +NET "IO<27>" LOC = "P89" | IOSTANDARD = LVTTL ; +NET "IO<28>" LOC = "P88" | IOSTANDARD = LVTTL ; +NET "IO<29>" LOC = "P86" | IOSTANDARD = LVTTL ; + +# memory & bus-switch +NET "switch_oen" LOC = "P3" | IOSTANDARD = LVTTL ; +NET "memory_oen" LOC = "P30" | IOSTANDARD = LVTTL ; + +# flash/usb interface +NET "fpga_csn" LOC = "P39" | IOSTANDARD = LVTTL ; +NET "flash_csn" LOC = "P27" | IOSTANDARD = LVTTL ; +NET "spi_mosi" LOC = "P46" | IOSTANDARD = LVTTL ; +NET "spi_miso" LOC = "P51" | IOSTANDARD = LVTTL ; +NET "spi_sck" LOC = "P53" | IOSTANDARD = LVTTL ; + +# ADC interface +NET "adc_miso" LOC = "P21" | IOSTANDARD = LVTTL ; +NET "adc_mosi" LOC = "P10" | IOSTANDARD = LVTTL ; +NET "adc_sck" LOC = "P9" | IOSTANDARD = LVTTL ; +NET "adc_csn" LOC = "P12" | IOSTANDARD = LVTTL ; + +# CLOCK timing
trunk/mercury.ucf Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/mux16to4.vhd =================================================================== --- trunk/mux16to4.vhd (nonexistent) +++ trunk/mux16to4.vhd (revision 6) @@ -0,0 +1,63 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:50:59 02/13/2016 +-- Design Name: +-- Module Name: mux16to4 - structural +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity mux16to4 is + Port ( a : in STD_LOGIC_VECTOR (3 downto 0); + b : in STD_LOGIC_VECTOR (3 downto 0); + c : in STD_LOGIC_VECTOR (3 downto 0); + d : in STD_LOGIC_VECTOR (3 downto 0); + sel : in STD_LOGIC_VECTOR (1 downto 0); + nEnable : in STD_LOGIC; + y : out STD_LOGIC_VECTOR (3 downto 0)); +end mux16to4; + +architecture behavioral of mux16to4 is +begin + mux: process(nEnable, sel, a, b, c, d) + begin + if (nEnable = '0') then + case sel is + when "00" => + y <= a; + when "01" => + y <= b; + when "10" => + y <= c; + when "11" => + y <= d; + when others => + null; + end case; + else + y <= "ZZZZ"; + end if; + end process; +end behavioral; \ No newline at end of file
trunk/mux16to4.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/nibble2sevenseg.vhd =================================================================== --- trunk/nibble2sevenseg.vhd (nonexistent) +++ trunk/nibble2sevenseg.vhd (revision 6) @@ -0,0 +1,80 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 23:04:38 02/13/2016 +-- Design Name: +-- Module Name: nibble2sevenseg - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity nibble2sevenseg is + Port ( nibble : in STD_LOGIC_VECTOR (3 downto 0); + segment : out STD_LOGIC_VECTOR (6 downto 0)); +end nibble2sevenseg; + +architecture Behavioral of nibble2sevenseg is + +begin + decode: process(nibble) + begin + case nibble is + when "0000" => + segment <= "0000001"; -- 0 + when "0001" => + segment <= "1001111"; -- 1 + when "0010" => + segment <= "0010010"; -- 2 + when "0011" => + segment <= "0000110"; -- 3 + when "0100" => + segment <= "1001100"; -- 4 + when "0101" => + segment <= "0100100"; -- 5 + when "0110" => + segment <= "0100000"; -- 6 + when "0111" => + segment <= "0001111"; -- 7 + when "1000" => + segment <= "0000000"; -- 8 + when "1001" => + segment <= "0000100"; -- 9 + when "1010" => + segment <= "0001000"; -- A + when "1011" => + segment <= "1100000"; -- b + when "1100" => + segment <= "0110001"; -- C + when "1101" => + segment <= "1000010"; -- d + when "1110" => + segment <= "0110000"; -- E + when "1111" => + segment <= "0111000"; -- F + when others => + null; + end case; + end process; +end Behavioral; +
trunk/nibble2sevenseg.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/out_mux.vhd =================================================================== --- trunk/out_mux.vhd (nonexistent) +++ trunk/out_mux.vhd (revision 6) @@ -0,0 +1,47 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 14:46:36 04/26/2010 +-- Design Name: +-- Module Name: out_mux - out_mux +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; +use work.mnemonics.all; +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity out_mux is + Port ( ad : in STD_LOGIC_VECTOR (3 downto 0); + f : in STD_LOGIC_VECTOR (3 downto 0); + dest_ctl : in STD_LOGIC_VECTOR (2 downto 0); + oe : in STD_LOGIC; + y : inout STD_LOGIC_VECTOR (3 downto 0)); +end out_mux; + +architecture out_mux of out_mux is + signal y_int: STD_LOGIC_VECTOR(3 downto 0); ---output before tri-state + ---- buffer +begin + y_int <= ad when dest_ctl = rama else f; + y <= y_int when oe='0' else "ZZZZ"; + +end out_mux; +
trunk/out_mux.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/readme.txt =================================================================== --- trunk/readme.txt (nonexistent) +++ trunk/readme.txt (revision 6) @@ -0,0 +1,75 @@ +Goal: + +Implement i8080 compatible processor code in VHDL, using bit-slice technology and microcoding. + +Background: + +Retro-computing is fun and rich in learning. One fascinating aspect is bit-slice technology popular in 1970-ies, which was naturally combined with microcoded processor architectures. In some ways, it was another take on the idea of "programmable" logic, within the limits of the day. Researching the era, I stumbled upon following article: + +https://en.wikichip.org/w/images/7/76/An_Emulation_of_the_Am9080A.pdf + +AMD engineers describe building an "Am9080" CPU (i8080 second-sourced) using popular Amd29XX bit-slice product. The description was very detailed, including circuit schemas and microcode, and it seemed like a fun project to "reverse-engineer" (given that the article was written 30+ years ago, hoping not to run into any copyright issues...) and re-implement in VHDL. + +Debugging: + +Very simple hardware debugging was used - a single step circuit combined with key signals from the guts of the processor (such as microinstruction address and data word, macro instruction register, register contents etc.) being exposed through 16-bit "debug" bus to LED display. Simple "test" assembler programs executed allowed instruction execution to be observed clock by clock cycle and fixed. The original microcode listing contained a few bugs that prevented for example RST n to function well when presented at INTA cycle. + +Testing: + +Instead of boring test bench, I decided to write an equivalent of once popular "evaluation system" boards, containing: + +-2 kB ROM +-256 bytes RAM +-2 ACIA (UART) +-parallel I/O port +-interrupt controller +-varible clock circuitry (from 1Hz to 25MHz) + +Such system boards came with basic bootstrap loaders and monitors. The fact that the system can run and execute (most) commands of Altair monitor is encouraging, and sufficient to merit "beta" status. This is how it looks in "action": https://imgur.com/a/yNfA8 + + +Hardware used: + +* Micronova Mercury FPGA development board https://www.micro-nova.com/mercury/ +* Mercury baseboard https://www.micro-nova.com/mercury-baseboard/ +* Parallax USB2SER development board https://www.parallax.com/product/28024 + +Development tools: + +* Xilinx ISE 14.7 (nt) - free version + +* Zmac 8080/Z80 assembler for PC http://48k.ca/zmac.html +Used to assemble the boot.asm and slighly changed AltMon.asm into *.hex files which are then loaded to 2 ROMs during VHDL compile + +* Parallax Propeller IDE serial terminal +Probably most other generic serial terminal window programs can be used + +Software and code (re)used: + +* Altair monitor program by Mike Douglas http://altairclone.com/downloads/roms/Altair%20Monitor/ +Minimal changes to assemble to address 0x0400 etc. + +* VHDL uart-for-fpga by Jakub Cabal (https://github.com/jakubcabal/uart-for-fpga) +The "ACIA" component wraps it to expose to CPU a "device" somewhat similar to classic MC6850 ACIA + +* VHDL Am2901 by Amr Nasr (https://github.com/Amrnasr/AM2901) +In current iteration this is replaced by my own simpler VHDL Am2901c, but this was the inspiration + +* VHDL Am2909 by Stanislaw Deniziak (http://achilles.tu.kielce.pl/Members/sdeniziak/studia-magisterskie/mikroprogramowanie-ii/materia142y-pomocnicze/am2909.vhd/view) + +Possible next steps: + +- run 8080 instruction verification program +- use audio in/out ports on baseboard for casette tape "mass storage" :-) +- port to other FPGA boards with more resources, possibly creating a CP/M microcomputer +- implement 8085 instruction set +- use in other projects + +Known problems: + +- interrupt ack only works for RST n instructions, not CALL. However, few if any 8080 systems used the latter +- the processor implementation is clunky - clean "RTL" state machine would be much more optimized and smaller / faster than many components and subcomponents I had to write to replicate 74XX-type logic as individual "ICs". However it is fun to see "guts" of processors as they used to be in that era. + +It would be exciting to see if somebody could use this core in their own projects. If so, shoot me an email to zpekic@hotmail.com. + +Latest version of the source code (under appropriate license) is available at https://github.com/zpekic/sys9080
trunk/readme.txt Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/rgb_leds_summary.html =================================================================== --- trunk/rgb_leds_summary.html (nonexistent) +++ trunk/rgb_leds_summary.html (revision 6) @@ -0,0 +1,80 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
rgb_leds Project Status
Project File:sys9080.xiseParser Errors: No Errors
Module Name:rgb_ledsImplementation State:New (Stopped)
Target Device:xc3s200a-4vq100
  • Errors:
 
Product Version:ISE 14.7
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: 
  • Final Timing Score:
 
+ + + + + + + + + + + + 
+ + + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis Report     
Translation Report     
Map Report     
Place and Route Report     
CPLD Fitter Report (Text)     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + +
Secondary Reports [-]
Report NameStatusGenerated
+ + +
Date Generated: 11/18/2017 - 11:17:25
+ \ No newline at end of file
trunk/rgb_leds_summary.html Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/simpledevice.vhd =================================================================== --- trunk/simpledevice.vhd (nonexistent) +++ trunk/simpledevice.vhd (revision 6) @@ -0,0 +1,84 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:56:00 11/12/2017 +-- Design Name: +-- Module Name: simpledevice - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: Simple wrapper for parallel I/O ports and maybe more +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity simpledevice is + Port ( clk: in std_logic; + reset: in std_logic; + D : inout STD_LOGIC_VECTOR (7 downto 0); + A : in STD_LOGIC_VECTOR(3 downto 0); + nRead : in STD_LOGIC; + nWrite : in STD_LOGIC; + nSelect : in STD_LOGIC; + IntReq: buffer std_logic; + IntAck: in STD_LOGIC; + direct_in : in STD_LOGIC_VECTOR (15 downto 0); + direct_out : out STD_LOGIC_VECTOR (15 downto 0)); +end simpledevice; + +architecture Behavioral of simpledevice is + +signal d_out: std_logic_vector(7 downto 0); +signal readSelect, writeSelect: std_logic; + +begin + +readSelect <= nSelect nor nRead; +writeSelect <= nSelect nor nWrite; + +D <= d_out when (readSelect = '1') else "ZZZZZZZZ"; + +with A select + d_out <= direct_in(7 downto 0) when "0000", + direct_in(15 downto 8) when "0001", + X"FF" when others; + +IntReq <= '0'; -- generate no interrupt for now + +set_output: process(reset, clk, writeSelect, D, A) +begin + if (reset = '1') then + direct_out <= X"FFFF"; + else + if (rising_edge(clk) and writeSelect = '1') then + case A is + when "0000" => + direct_out(7 downto 0) <= D; + when "0001" => + direct_out(15 downto 8) <= D; + when others => + null; + end case; + end if; + end if; +end process; + +end Behavioral; +
trunk/simpledevice.vhd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/sys9080.gise =================================================================== --- trunk/sys9080.gise (nonexistent) +++ trunk/sys9080.gise (revision 6) @@ -0,0 +1,35 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + +
trunk/sys9080.gise Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/sys9080.xise =================================================================== --- trunk/sys9080.xise (nonexistent) +++ trunk/sys9080.xise (revision 6) @@ -0,0 +1,507 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
trunk/sys9080.xise Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/sys9080_bitgen.xwbt =================================================================== --- trunk/sys9080_bitgen.xwbt (nonexistent) +++ trunk/sys9080_bitgen.xwbt (revision 6) @@ -0,0 +1,8 @@ +INTSTYLE=ise +INFILE=C:\Users\zoltanp\Documents\HexCalc\Sys9080\sys9080.ncd +OUTFILE=C:\Users\zoltanp\Documents\HexCalc\Sys9080\sys9080.bit +FAMILY=Spartan3A and Spartan3AN +PART=xc3s200a-4vq100 +WORKINGDIR=C:\Users\zoltanp\Documents\HexCalc\Sys9080 +LICENSE=WebPack +USER_INFO=210994392_0_0_816
trunk/sys9080_bitgen.xwbt Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/sys9080_guide.ncd =================================================================== --- trunk/sys9080_guide.ncd (nonexistent) +++ trunk/sys9080_guide.ncd (revision 6) @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###3576:XlxV32DM 3ff8 de0eNp12t+PW8UdxuF/xRfcLvG88505c7pX0F9CKlJFVYlcRWFZyKqQpJuIFvWfr0O84Mdqb7Bn1z7+JHoMQu/5pB/v7/7TjreffHX/08O7hzevf3don9bhk9zeVL+9+ebt6cnd6cndj23e3jx8//rN4/2Lf9zfv33x6uH+8eXj3auf99PPX79/9/7nH+7X/O354eHd/eHm7eHfd/1djseXNz/9sx2PN3U4Xevw8vH+5eHm4fHw5rvvDv/7sqf3Ph6+Ob36cHrb4VRyuHlzePfzu/24ji9+fPn209d33z6dP339/W/P3959dzgVPzye/vHmh9M/3j6e/hDva7u9+dfhKu/ND4dXD9+/Oty8P7T/f/E7L/7t7bPP//6nP3/5969ffN2eH+85tuPlr/O8rdtnX/z1sz+kTn+Bvzzr2/nx6cl2PP3m9Njadn7czz/I+Qc5np/0X588vbaP85NRT0+efrLW+OXJ+Spp/elJPT3Zz0/q6Vf19KvaPjZknh/PTT3nxzo/jo+P4/y6cX7f7OfH8+vm08/Xx8d1vt5+/sPv5+vs5+vs59fv6+722Vefffl5mx/+euub22d/+8sXv//ji6+Pz4+Xh3Z3eThy8nfh1DkVp8Fpcto4LU77ZRgfF8JCWHwlYSEshIWwEBbCQhgf0AnrhHXCuu8jrBPWCeuEdcI6YVyyCCvCirAirLwKYUVYEVaEFWFcZBA2CBuEDcIGYcNrEjYIG4QNwnjbJGwSNgmb/fIi2+VhXR72b389tOPHf8VdHJvHeOwey+PwOD1uHpdHq2JVrIpVsSpWxapYFatiVazqVnWrulXdqm5Vt6pb1a3qVnWryqqyqqwqq8qqsqqsKqvKqrJqWDWsGlYNq4ZVl9+q03FxuvzUpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuam5qbmpuaG5obmqDlqjpqj5qg5ao6ao+aoOWqOmqPmqDlqjpqj5qg5ao6ao+aoOWqOmqPmqDlqjpqj5qg5ao6ao+aoOWqOmqPmqDlqjpqj5qg5ao6ao+aoOWoOmoPmruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruau5q7mruaO5o7mUnOpudRcai41l5pLzaXmUnOpudRcai41l5pLzaXmUnOpudRcai41l5pLzaXmUnOpudRcai41l5pLzaXmUnOpudRcai41l5pLzaXmUnOpudRcai41l5oLzYXmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeah5qHmoeaB5oHmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeap5qnmqeaJ5onmTc2bmjc1b2re1LypeVPzpuZNzZuaNzVvat7UvKl5U/Om5k3Nm5o3NW9q3tS8qXlT86bmTc2bmjc1b2re1LypeVPzpuZNzZuaNzVvat7UvKl5U/Om5k3Nm5o3NW9q3tS8qXlD84bmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeal5qXmpeaF5oXmXc27mnc172re1byreVfzruZdzbuadzXvat7VvKt5V/Ou5l3Nu5p3Ne9q3tW8q3lX867mXc27mnc172re1byreVfzruZdzbuadzXvat7VvKt5V/Ou5l3Nu5p3Ne9q3tW8q3lH8/78Yndszy+Wxsbo3hjdG6N7Y3RvjO6N0b0xujdG98bo3hjd23M+LoSFsPhKwkJYCAthISyEhTA+oBPWCeuEdd9HWCesE9YJ64R1wrhkEVaEFWFFWHkVwoqwIqwIK8K4yCBsEDYIG4QNwobXJGwQNggbhPG2SdgkbBJ2Obq3y9G9XY7uDDtxdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3ePoHkf3OLrH0T2O7nF0j6N7HN3j6B5H9zi6x9E9ju5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3ePoHkf3OLrH0T2O7nF0j6N7HN3j6B5H9zi6h9E9jO5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3ePoHkf3OLrH0T2O7nF0j6N7HN3j6B5H9zi6x9E9ju5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3ePoHkf3OLrH0T2O7nF0j6N7HN3j6B5H9/jfiDC6x383O7rH0T2O7nF0j6N7HN3j6B5H9zi6x9E9ju5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3ePoHkf3OLrH0T2O7nF0j6N7HN3j6B5H9zi6x9E9ju5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3cPoHkb3OLrH0T2O7nF0j6N7HN3j6B5H9zi6x9E9ju5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3ePoHkf3OLrH0T2O7nF0j6N7HN3j6B5H9zi6x9E9ju5xdI+jexzd4+geR/c4usfRPY7ucXSPo3sc3cPofjrtF6e6/D/U0+nyMx3k4yAfB/k4yMdBPg7ycZCPg3wc5D8cLfZjY2NszNWLbYyNsTE2xsbYGBv9nG5jt7Hb2K/ea2O3sdvYbew2dhu9cNlYNpaNZWNdXcrGsrFsLBvLRq80bBw2DhuHjcPGcXVlG4eNw8Zho2+dNk4bp42zc6WN0+J0+ZmDb+3gW+uNB/HGg3jjQbzxIN54EG88iDcexBsP4o0HH44W+7GxMTbm6sU2xsbYGBtjY2yMjX5Ot7Hb2G3sV++1sdvYbew2dhu7jV64bCwby8aysa4uZWPZWDaWjWWjVxo2DhuHjcPGYeO4urKNw8Zh47DRt04bp43TRr61g28tN1GcTpefOfnWTr613mARb7CIN1jEGyziDRbxBot4g0W8wSLeYPHhaLEfGxtjY65ebGNsjI2xMTbGxtjo53Qbu43dxn71Xhu7jd3GbmO3sdvohcvGsrFsLBvr6lI2lo1lY9lYNnqlYeOwcdg4bBw2jqsr2zhsHDYOG33rtHHaOG3kWzv51nKzyOl0+Zkb39qNb603ksQbSeKNJPFGkngjSbyRJN5IEm8kiTeSfDha7MfGxtiYqxfbGBtjY2yMjbExNvo53cZuY7exX73Xxm5jt7Hb2G3sNnrhsrFsLBvLxrq6lI1lY9lYNpaNXmnYOGwcNg4bh43j6so2DhuHjcNG3zptnDZOG/nWbnxruSnmdLr8zMW3dvGt9YaZeMNMPt4w81+67JE/###2876:XlxV32DM 3fdc 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###6052:XlxV32DM 3fff 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###3104:XlxV32DM 3ffb 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###2792:XlxV32DM 3fe3 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###2096:XlxV32DM 3fe6 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###2744:XlxV32DM 3ff6 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###2556:XlxV32DM 3ff5 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###1804:XlxV32DM 3ffe 6f4eNqdm8GuGzcMRT8pFqkZkui+XnXVRZcDx34PKIrgBQ/J/1ea8TjbcxMUAQIcURxJJC9l9f7955dv/94/P+4fj7ft8+Pbl7/GX9vj9uM2/3UZf1pV21qztz/uGN7+/qfZgw+w7X3xi8Zvnz/sxoe4Ane7Y3j6YoVxF9gusCG4HNv7KphOYStzbP1FcCXnzruEb99v9//GGeAfUIJDNbezE9xsRdj4LyDYqEmzhOCI3BtE962DDqz0m1b8TUHBonNXswWR1MeRRQKC2GSnJjs2uVCTS4PnqK0NBpzNE8fZrV3g+dzZpsDGwv6AcRbfceUDFTdsVrWvFF+29+D0OrM+pmMmZlPoIy+7NMUKz5/NKoQNzyrBF33SjdWgF37WoIcyarvwry1+wEo4jGV8FfdKCMPN93j7KsBNok1xpCmw04Oyw9ufGn4V8D2aOb6HM8cDC60Xfga0NMlKD6PPkOYblU3wI5u0UQNXNmpPLw8Jb941/lx7bRpjXdqLHxnpXRzQhP0tYX9LidoyYbv2PMaUk1FpaUXFmFP171j9O1X/PrVYUJt0dqezO5/d8exULjuWy06rnC/7vQa0Sjskxx2SBzUZ2GTSzcyRUTF6hdN3Ghz9QrVWvxypkx6oTs9ox7pikFOyPASYS62dp+LpgJviShNdMcUVk5aQKo8J81u4HXeB7QIbApvC5yUXexMvLvZO/BQcMGqMRg2+W+n01qIv2CTNwR3n4E5zcMc5uCc1mdgk1TEd65iFpuoF65iFHqIFH6KFXtAt+IJuoYpjwaVkXqaxWroOCUeNdppc10Xo1g+4SbQpjkhe05IwYaGfnrjQT09c6KdP/BQm0iS0n554CkuJ++kDxv30E78qOO+nT5z20y/+LG9v0rDRH/PVL2H1Szn1pRwZodtdaZVY8Q8p9DecoFktGs3TQatJ4GoStJoEriZBq0ng/jWocAosnIIKp8DCKQKWvAhcHSNwUzpQ2pQG1WORtLOK1JrSoJEZhV2o8zcLFskJXU0ayYkjOWkkJ+4n0wTJk6ZInqdpxRFaetMkFZMmqZg0ScWk/Y6KSZNUTBrvi9Mk6ZCmSYe035IOaaJ0SOPSIU2QDodhYTEF6ZC0jCUuY7nAVJ4LvlceNunstOIlrnhJ60gmTcoFpy76S3NdcAUdKK2gRQt4Ndz+VROeJxX9haQMi41hkx67MnqPVlRhFlaYRUOz8H1FYeFYNIwKh1HRMKoRRii1w6egflm5aHjCTaJNcaQpMLwnecK0uT/xq4Bz/bLjXL/sONcvL/yUwdIkUL/seAoblYof/BbmxJWN4lLqhUMp9YuXpNRrGJVS+4ASVr+UmKJS6oCxlPILzG8DbNRkUZP0+t8bVBQDpOps2qSzGzVJO0IfaQzrCaePdB0/0nX6SNfnI90HJM/cBl1YqQsrNpn0mCQ/JomPCT33jV5o0ncpTu8U5zubgCBUpm74kb9bFyTNATeJNsURWvwmLOiIiQs6YuKCjjhx6R7kHEV1xMRTMC0U7xOnxfvFn8nlTRqGi/ccUMLpKeXMl7JPQvE2mkINp1ALGvaBi52FUOyM5nDDb8ImeqW+1v7KjqVn2Ge7U/niXL44li+OTdI7cqdvQJ2/AXX8BtTpK0zHrzDdqR7yBZtc6RqtfI1WvEZBTQb+INpaegrx7lQ1Oe4W6LtOn+862R51/GhmoDgx9hT00AE3iTbFEclresUzYUE8TVwQTxMXxNOJS/9ryTmKiqeJp7CU+BLmgPElzBO/KjjXcSdOddyL/3UJ8z864w8p###1828:XlxV32DM 3ff6 70ceNqdm0tvHTcMRv9RM3qSRPfNqqsuuhy41zZQFIEDI/3/lTRXk+35moWBAEccieJDInUf3//98u3vx+fH4+P17fz8+Pbl9/HnfH358TL/d4x/pXo6/Xxv55Hj1wccEPmFs0mBc35weEw7N4S33Bl3ZINgyk5FcrRwtKb8CtHz+8vjnzNRHWCwwJ1t5fzjz4NKbXQLGtZAuzRQ6BQ6nUKnuurUsPpB/WWQZzoUmOrrgqd3CcKzAhfo5hNucyKB8S6wJkxjRRuqv+1xGp+pMVH77Nw+jYo0vKqY9ilYUSwTFeBckgBvHT/wmHy+dwEvgoU88VSKxF9rqMKkquA9A1c2a8RfLrhDQ7QCDdEKtW2jGcVw6jPqLobdxUwLGpvPIl8oH1oSWHxWYJoE7HJFasYTLwJbhWmYNg0X2BD2JYTzlNMN9DakUgdwmoK8U4P2rjnA5rPI01NrpHW+euWw4C2LzwpMvWXCwpFp4l1gTZiGcGTaOLW/oGkiCrWnKNo9KWhaCb4qpyIdr8r3qirhKzPJOi610zn+EuCkiE7MzBbMj2kL58e0G08in9mx7ub3FvFFVBxqFk6PagtWlOmaMn0p803j5QG5HNqAuQi+5hXWOsNhmKqz8oNKWRXGkppgxaFOz3yF5DZUZnmp8Qz6hLlZLz7BCHTBGp2VicBr5YL7WCQMEBt/6v1/jarC1IY3dOEjE5eu1WsUPxbcOA0Bmz8PYRVxqypT3+rUtzos6E6R2LONft2wSKfT9PM3jn6Fn880oozoi28+NWeop4xVn2k8zwWLrFQkDv25UZENi3Qq0rHIoCKDOtEgaRqFvYBaaBotB114oWGmGHSLYsstIBzC0f2Ck0RnZSJJgSXJ8MK84HFhNgHv/MZRnlUjmI82rt0InqPgPX7hLqjSlXl4GhlCwr8qOD87bDyVqvFSGernrOARZfO04b4GhLBbobgUbbhfMG641wpDXKWTnQ1/2u28YLqBC8784rH4osD0BH4/aoC6owm0BnXgum2fmWYT9qQpNaBLsDALZcZZmERWZpypM7VtcQr++QNGmI3/QtN2eyZATK/8h2mTVmorZGcF33V9ZUYdHinnLZcLdmmpzjvAN64lp3bnGrza4J4UgteNRIO1GJIWhbZBbYUqroiKLlIz6ubpNYQ+SarzSRKLWD0J94ALlkTTnDNhoYQ/caGEv/Ek8rSEv3ntwD5HCSX8ieMSfk+8hD9Zx83ChYcgWjjMdFqs4XWyTos1vWDDtukG7NnRE5ZeXewxI9cH5gO2OxYr9Tir0V0xvCv0gU812rkdpBasrWjlXqMlOsMlOqPx3DoWScvChsvCRqt+5tSDzIWsc8F4W13rIJkLHaQL1uisTITeHex6sN8FXGi4bJw2XDaPGy73AOm4ZC56uG/5rFzh0CGcVoMdV4OH50CRCYss8LjvJeGv46q109oPfUNWHcdApzHQcQx0GgPdae8h4KeDWltga8OtlEjYNIZM+nWa+wO3z4Lm5qA/mBmkFpw2T+9y9OFYxQ/HalBXCnw9HCRP1BecJDorE6EXqwkLzZyJC82ciQvNnI1rd8PnKNrMmbgLooUOysZpB+Xmt/+8ScNwR2QOCMF6QrF53BFZ8FQmDKgzfyM9witzO+DlbP0CMShJ+yYXu56MU146w918puqoVB30ltYOpyIdr8pFLbiUjG6+sLNIg1FpcCuwdgGfT9Dyu4SfB6yH/xzx1E7gUSYsgf/eY+GwqX6xSYGVBQYVLPwstyXqXanCI+YUSR0xNfr1hr/eE/66UY2aolEaXJLjic6O8H/lFxkq###1792:XlxV32DM 3fe2 6e8eNqVm81u5TYMRh9pTEqmKHQ/WXXVRZdGen+AohhkEEzfv5Ic327Pl0WAAEc0bZPUR1q5/fz324+/b58ft4/74/j8+PHt9/HruL//ep9/beNnt24ev90A6Zs3CGKTRk0aNunUpGOThZos2GSlJis2uVOTOzbZqMlmviOywEsXGmwFBxt+OYWG0AD9nZG7Hbb5XwJsEu1+F+jjuSuOS64MWjDtfsPwfjybgMfxDAFv46GUIuHHz/fbP4drFwnvGE/BdM6XepdwK1Xjzxsu/pCWHZtwy12Inq6EWlfeU58PE1aLoNUicAGidbc0bLJ7QvD4ztE3ePlKy3nF5XxsjAlBXMDqKjLB6ZhFwxX6qhnU96Nxb2ZN4oYTZuVE+bMeeQbfH91lKxZqtVI3Kw7ygeIgpwqsYgVWG3WzGdXSwya9eqcmRyW+Q/JMAKoXaRXGT3SnYcebk9lzJCUNZt3ufAva6Ra0B31Pe1zvie2wexME7gmbRFOBe9Jc4C5ecsWkZ0JVx4QFgTtxQeBOvHGBeOEGBfHFXzEjOaXcQ2r3oIjcF3/dxENahkXuXNCFCOpKuHUl3ASRG7RqBt6sg05VAjfuQacqUWkdjJwZz8vJ4l2BC3xjE96nIx3jIbANbsgNvotGFX/Dir/RDbnhaWGjQdgKlcBtpgALribuVYu3YgJ8tRt3Zc3nL8UhWnJakwJ44iGwODCTvvLEUUR1cutU/rXjjz83mJIJ3UyakolTEjeGOfXeHaLXmAn6QPemdLqVZhmPHz8DuusknuUn7SQT9z0Z9N5jhR60SidViSdVSfMzcX4mHX5lx130sAnTkw5EOk3PjtOz07vpeMfsFVawvuZuSVmaxOP61FOaRB0nUadJ1GcSYUdpEvUGC1hsG2/Hv2Dzp0KvIqHYhxrki8ai6ORNccWkpwjlzYJ5A79w3sAvnH+heuHXTipdBKquhadgmjfvLxw27//zl959SMto874WdCF6uhJqXQk13rzH5tQJ51t/bLB1GqBRk5WarNjkTk3u2GRQk4FNNmqyYZNJTSY22alJeqYoDGqesA2bpClnJkQ71ScDxI7SaDcc7Uaj3XC0WzANN0Aq9wZKP79N9I16SpPIcBIZTSLDSWQ0iYyK92kSbl+2dg3UtgRUr0GPBYbTCcAgrxk8dIGmvFdBFp+wSbQrjuDHUb9m0GXTeDzIO5e44o+LxqkG9SrJaK+SjPYqyegLl75rXauojPZ1FoM/ylRCMqUoy/Wlg9+loOgvnCr6Fy+dOXstw4reK1f0i1VqDVb0C+aKnp71DXzWdwQrNdnh2Y2AA9ygZ30Dn/XFnwyjFPg6izASDnomMPCZwKBnAgOfCYySUISVOTmnKJZ2A6XSjp5eHCAWocMmTeXS+deYqPCeKpV3FZfw+hp/QBeoXKm+xM2dw4JcefFcUawlrvjjfKC4+AL32BM2xTQcoC84BcNJ9cmC5/N4SDjeUueCTkOQTpEqLun0FOgADecgPa0a82wnJINupFQW7NRgQINB74VPXQbKW7ATNol2xRHJa8ky7XcmLPQ7Exf6nYnzc38v3ETeYT918Vo/9bWK9lMTT+FVpeLH6JC+a/ibgmuvSumnXvzVT2mXcZGn/drFj83lKS4weYULEdSFCOpKGcE94YK1gOgrd2Ftpw1F4IYiaEMRvEsI3iUEbWEDt7DwUFm0DUZA24SPDvTAY+ADj+OGqEn8HYOeoYyGJVyjn0Ya/jTS4H9GDRCrwmGTXp0OBBoeCLSZv/8B38wO1A==###2312:XlxV32DM 3fff 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###3320:XlxV32DM 3ff7 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###3504:XlxV32DM 3fea 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###2300:XlxV32DM 3ff3 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###2128:XlxV32DM 3fe9 838eNqN2sFu1EgQxvFHYqqqq7otVpxWcOK0D2CFzASNdpOsQkDs268zEGKbdP2bQ4T9dZXtbqt/M1HO98fTt/P16c3x/HC6fpzvvz7OVfzt+ZXzrXN+kvpy/uF0dfzr9M9Tdr77Jp9eTe4fDss/sbfnL6el+J/TsXyvb67ulqHzYb67f7zE+0S6iXYT+5XcPAfH8+fz4x+Hd7sTsj+h+xP2bnmc9Znbr9/ffFx+zP8td3DjcszS+QC5QK7SernJ1I+STJPM+pcr/bKSXK4klyv2snJfTp9vT3ePy5vw8z1p3USuetHTevzWUbodpd9Rso7a7aj9jpp1tG5H63e0rGPpdiz9jiXr6N2O3u/oWcfodox+x1h1/C2sz3WHg0oSzv9eXf89r17b38aUpHmRLNQsLHLdD/NH8kjCaEk4TclkTNOPybB+Az0kz6Sa3JeW5L40Sv++lvB5kfoNJuuvoE39wiXrr4NNT+vQ7euln0X0s6lebmf+9PXL4tD16v/aTpuj+f3u+MOnX8dyeHdcH2i72R7O7/cn1tWyrpZtteyrZV+t62rdVuu+WvfVtq62bbXtq21fXdbVZVtd9tVlX+3rat9W+776cuLDy2rJarVks1qyWy3ZVeqqUjeVuqvUXaWtKm1TabtK21WWVeUyD9NxcyTbQ73ZHC4v/ksjXzV6mpLj5ki2h6tGl+lbN4pVo9g0im2j2DaKfaO6alQ3jeq2Ud02qvtGbdWobWa37Wa37WZ3WlVOm8ppV3k5/iDl7e393cP97Zs/56u74wWup4/I/vrp5bPma+efd+jpOf24/Jjnb1cP57vj6ftlH79Kspe2r4SHZSvM0mVes/gAOdUr5AZ5gdwhj/zxNY8tj0seex7DrdU8bnk8ySmLnwy8oQE4YvlwQCN+vNt8McMRBS9WRi/GIwIvFqMXq3qAEfOBhwgPUR5iPKTwEOchoU5Dfk4fvKZB8xv4mobiCJyX4DUKXqNYvvDD81a614pXqfOBrtJSMiTdWkAUEEFAFK5XyA3yArlDHpBXyBvkUz698PgK06swvUr9YXoVpldzFCUXV3JxBZrn4kouruTiSi6ukLiC4gqKK6PiCoorKK6MiisorqC4MiquoLjC4gqLKyyusLjC4gqLKyyuDIorJK6guILiCoorLK6wuMLiCokrKK6wuELi5l9DNBcXtnQFcVWoXiE3yAvkDnlAXiHPN26Fyc9F0lwk4E5zkTQXSeHBcpGURFIUSVEkHRVJUSRFkXRUJEWRFEXSUZEURVIWSVkkZZGURVIWSVkkZZF0UCQlkRRFUhRJUSRlkZRFUhZJSSRFkZRFUhIp3/UsJ8OAHBOqV8gN8gK5Q57vy5aTYTB5ORmWk2FwazkZlpNhORlGZBiSYUiGjZJhSIYhGTZKhiEZhmTYKBmGZBiTYUyGMRnGZBiTYUyGMRk2SIYRGYZkGJJhSIYxGcZkGJNhRIYhGcZkGJGRb2sl/61iyR/x8scT2cXzTdNzbxy8cqF6hdwgL5A75DkKnnvluVeeL6zD1MOt5V557pXnXjl55eiVo1c+6pWjV45e+ahXjl45euWjXjl65eyVs1fOXjl75eyVs1f+wyvBIZKa5oOmOZnmaJqjaY6mOZvmbJqzaU6mOZrmbJqTafnmFTkLAayEUL1CbpAXyB1yePyclchZiZyVyFkJuLWclchZiZyVIFYCWQlkJUZZCWQlkJUYZSWQlUBWYpSVQFaCWQlmJZiVYFaCWQlmJfhrUAySEURGIBmBZASSEUxGMBnBZASREUhGMBlBZOQ7T823/ApkVKF6hdwgL5A75Pm+XHMyak5GzcmoORkVbg0WLiej5mRUIqMiGRXJqKNkVCSjIhl1lIyKZFQko46SUZGMymRUJqMyGZXJqExGZTIqk1EHyahERkUyKpJRkYzKZFQmozIZlcioSEZlMiqRkW8tLd/yG5DRhOoVcoO8QO6Q5/tyy8loORktJ6PlZDS4tZyMBuuak9GIjIZkNCSjjZLRkIyGZLRRMhqS0ZCM9kIGT1JORmMyGpPRmIzGZDQmozEZjclog2Q0IqMhGQ3JaEhGYzIak9GYjEZkNCSjMRmNyHj6K+H/AZnBsKs=###1728:XlxV32DM 3ff9 6a8eNqN201uHEcQhNEjeTKy84fwGXyGASWRgGFQMrQwfHzPQLZ3iq+44CayWd3Vg3qkoPj49vX7t49ffnt8u9//ev3++9cvb3/fHl/xEvH514+fx4o3F9/fK76YgWf+BjkNSAUD9z9fP/9xx5WSBi5a6TpcCQeaVurDlSbe/cD9hhOBE8KJxIkLJwonWhdM/Ltr/jPZsKtNn8kWDdB+NL6XxvfSj/fiH3TgNoeWmMdt+iU2Xn+eKz6Z8OaOnkfqVn7EN8jpekGekF+Ql388+Th9fPkY1m4fj4/Xxy/uQ6fb88h/pwGckJom/jsL6EclTly42HW6GE80Ltani41uMHG/8UjwiHgkeeTikeIRS+uPEab1Ode0v40f0xZO4L40v6Pmd/S0Ap536F4HV3lyAausJcH+NqrwYsCJHyBG4PWCPCG/IC/IG/KBfCF/8dsLjy/YXsH2yosYXsTwIoLG4UUML2J4EcOLGCRioIiBIsapiIEiBooYpyIGihgoYpyKGChisIjBIgaLGCxisIjBIgaLGIciBokYKGKgiIEiBosYLGKwiEEiBooYLGKQiP5QhDNVQB6RSWe+gDwBeQLy6Fym3fFkyJMhT4bg1jwZ8mTIkyEiQ0iGkAydkiEkQ0iGTskQkiEkQ6dkCMkQkyEmQ0yGmAwxGWIyxGTokAwRGUIyhGQIyRCTISZDTIaIDCEZYjJEZPhTL/2Rn0BGBl0vyBPyC/KC3J/L6clI2DxPRnoyEm7Nk5GejPRkJJGRSEYiGXlKRiIZiWTkKRmJZCSSkadkJJKRTEYyGclkJJORTEYyGclk5CEZSWQkkpFIRiIZyWQkk5FMRhIZiWQkk5FEhj/WLv/Pcpd/xOt5sLjF/aFZ3psCryroekGekF+QF+QehfJelfeq/Ist2Hq4Ne9Vea/Ke1XkVaFXhV7VqVeFXhV6VadeFXpV6FWdelXoVbFXxV7VD68CRw5+TPLIxSPFI960OjStyLRC0wpNKzSt2LRi04pNKzKt0LRi04pM84dXexYaWOmg6wV5Qn5BXpDD43tW2rPSnpX2rDTcmmelPSvtWWlipZGVRlb6lJVGVhpZ6VNWGllpZKVPWWlkpZmVZlaa/wxqJqOZjGYymsnoQzKayGgko5GMRjKayWgmo5mMJjIayWgmo4kMf/KMP/IHyJig6wV5Qn5BXpD7c3k8GePJGE/GeDIGbg1enCdjPBlDZAySMUjGnJIxSMYgGXNKxiAZg2TMKRmDZAyTMUzGMBnDZAyTMUzGMBlzSMYQGYNkDJIxSMYwGcNkDJMxRMYgGcNkDJHhj5b1R/4CGRt0vSBPyC/I/bG8noT1JKwnYWFtT8J6EhbemydhiYRFEhZJ2FMSFklYJGFPSVgkYZGEPSVhkYRlEpZJWCZhmYRlEpZJWCZhD0lYImGRhEUSFklYJmGZhGUSlkhYJGGZhCUS/H+j9Y07+cadqHEnaNyJGneixp0OG3eixp2ocafDxp2ocSdq3OmwcSdq3Akbd8LGnbBxJ2zcCRt3wsadsHGns8adoHEnatyJGneixp2wcSds3Akbd4LGnahxJ2zcCRp36U6mtP2J9I27hMZdQuMuoXGX0LhLaNwlNO7SN+7SN+7SN+7yBmu3j8fH62P7y2pS4y6xcZfYuMvTxl1i4y6xcZenjbvExl1i4y5PG3eJjbvkxl1y4y65cZf/N+7+Ac0Q6pA=###1712:XlxV32DM 3ff5 698eNqN20tuHEcQhOEjeSqzI7IIn8FnGOhBAYZBydDC8PHNIWVDG8dfXHAT2VOPLubHYQ9fvn39/u3ll99ev93vf334/vvXz89/316/+nb/ovtVt19fcom4xCUq+fPDpz/uWs+5zusLFWBFYUXTiny/ccnikqL1Ds11cJS532iUvT6GgrU+pfSW47U+x/gGOV1fkDfkF+SC3JAP5Bvyp7y9sPyC7S3Y3qo8fOf4yrFy7BxPjneOn+IPxXptSfFH760AK6pMFf82PnqpxooLB7tOB+MK42A+HWxiB3tUQLd9K1lcUlzSXHJxibgki7j+E3HRMTXtr/GYgogLRVws4mIRF4u4SMSFIi4WcZGIuSlCTy0grxZdX5A35Bfkgjz3ZSCjMhmVyahMRsHUMhmVyahMRhEZhWQUklGnZBSSUUhGnZJRSEYhGXVKRiEZxWQUk1FMRjEZxWQUk1FMRh2+iSoio5CMQjIKySgmo5iMYjKKyCgko5iMIjJy1+vc8hvIoHdZ9DahgYwGMhrIoF/lAVTavExGZzIappbJ6ExGZzKayGgko5GMPiWjkYxGMvqUjEYyGsnoUzIayWgmo5mMZjKayWgmo5mMZjL6kIwmMhrJaCSjkYxmMprJaCajiYxGMprJaCIjt7Ur/1nuyku8Hk1BUPB+NipOMjdXZZcErmnR9QV5Q35BLsgzHsquKbumfAAEWw9Ty64pu6bsmsg1oWtC13TqmtA1oWs6dU3omtA1nbomdE3smtg1sWti18SuiV3Tu2sLS1bsbzq0T2Sf0D6hfUL7xPaJ7RPbJ7JPaJ/YPpF9uXk5s2BgxYuuL8gb8gtyQQ7Lz6w4s+LMijMrhqllVpxZcWbFxIqRFSMrPmXFyIqRFZ+yYmTFyIpPWTGyYmbFzIqZFTMrZlbMrJjfLvmQDBMZRjKMZBjJMJNhJsNMhokMIxlmMkxk5M4zueUPkDGLri/IG/ILckGe+/JkMiaTMZmMyWQMTA1uXCZjMhlDZAySMUjGnJIxSMYgGXNKxiAZg2TMKRmDZAyTMUzGMBnDZAyTMUzGMBlzSMYQGYNkDJIxSMYwGcNkDJMxRMYgGcNkDJGRW8vOLX8DGXvR9QV5Q35BLshzX96ZjJ3J2JmMncnYMLVMxob7msnYRMZGMjaSsU/J2EjGRjL2KRkbydhIxj4lYyMZm8nYTMZ+J2NhycHLNJdcXCIuyazsw4+HbWJlIysbWdnIymZWNrOymZVNrGxkZTMrm1jJH9l9yg9unvISnx7NJ7X1R/4MORVUPH0/ty54oaaCi0a6DkfCAtNIPhwpn7C3loUVCysKKxorLqwQVrguqPjRh/KZNOyq6Uy6qID2w3hfjPfl0YDyQgemOTTEo/vkIfb68P/5lTrTtWIa1/Z4IJwGVnppxZ6n/D8kj6fAMa4cd46vHCvHjsuOz85fu2NK451U3u48q4lptE1P6YwI8BHhI8JHh/iI8BHho0N8RPiI8NEhPiJ8hPgI8RHiI8RHiI8QHyE+Pz/BzWfSsKumM5nxEeEjxEeIjxAfAT4ifIT4CPCJbcexizsb4AVXV447x1eOleO87GiAowGOBjga4DyraICjAY4GGAwwGWAywIcGmAwwGeBDA0wGmAzwoQEmA4wGGA0wGmA0wGiA0QCjAT4zwGCAyQCTASYDjAYYDTAaYDDAPwz4BwUp92I=###2948:XlxV32DM 3ff0 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###3028:XlxV32DM 3fda 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###2956:XlxV32DM 3fe6 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###3004:XlxV32DM 3ffd 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###3480:XlxV32DM 3fff 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###2980:XlxV32DM 3fff b8ceNq9m02u3DYQhC+TA7SaVFN6g1zFgP4G8CZZZGn47qEoqYbiY2UxmHBh0FA3RVKkPpe6xj8HcV/y9fg5uKv1Z9ufrZ3tcrbr2W5n+0ztMqbrf69e93bWZdw7zvGP/PpjXruvZRgef83r+NU5Hx7xkn7pNoyPP9Zu+grP/vEzTF26V5j0bN3Zpjn9PXTnnLpjTqu72nC2w9mOZ5vm9nufzB7x+WRWTMaOyTjZ5msyti9MzwXOafB5mY6FmuGmY7nCJ246fF/h8M4Kp7Odz3Y52/XbCvdn0GeTGT0m039f4RgHETluoufNdTm3UM42Tfb3P4vsf9Fff6YlxzEff6bxYkKKCqJ2i0qM6uoXhONFnR8/4lmJkee0z92j3/g47h+fxeMaaX38iAcsJQ+35AHJDsnLnryl5O6WHJCsSJ735DSN9OBeyYbkDsnTnrzsqzn2/FjN8BXPxpkTNy6F5ykLxyPz+BFfiDSlKXtI+xLjHS0F/C3g9kCf5rXdAroHfAr0t0C3B9wxOcsi+5Suae5TGEyyjYibH/tJ6qf9PjmHfoZF2eNHWPeFP2dByvAV34Frk3XZU+bH7/0MpqQ1vmdd9yuds6Hzj3QatZN4ytfjoMVbHqf8f2OPbZQ91pw9QSh77G32hI6yx5qzx56UPfY59sQln3SJ431jT9zyPHqyZ0b4SdiT+iX2xPuf7Ekj1diTkgckOyTX2JOSA5IVyTX2pGRDcofkjD3h9SjkYk+8BvZIFq6zJ45SZ08K1NiTAjX2pEDGHsu7nOxJ0yzYEzfixh4/XGBJ/QyLqrEn3vBkT9rPnD1pk+rska0le/xE2TM0Z0/6x7fOnuFt9viVsmdozp70itfZM3yOPXHJJ13ieN/YE7c8j5bs2S9W2ZP6JfbE+5/sSSPV2JOSByQ7JNfYk5IDkhXJNfakZENyh+SMPWnPj9UsF3vitYs9aZpXuM6eOEqdPSlQY08K1NiTAhl7fD7IyZ40zYI9cSNu7Emny6GfYVE19sQbnuxJ+5mzZ6O6p+t9S/Yo1z1Tc/Y4rnumt9njuO6ZmrNHue6ZPsceB92jFd2j2y1afnMp0z0K3eOgexzTPQrd46B7HNM9Ct3joHsc0z0K3eOge1yhe9zrUUD3uJfu2SQL19mjTPco0z3KdI8WukfzLid7XEX3aKF71F9gUegex3SPg+7RUvesVPfEg9cQPcJlz9IcPcJlz/I2eoTLnqU5eoTLnuVz6BHIHqnIHplu0RI9wmSPQPYIZI8w2SOQPQLZI0z2CGSPQPYIkz0C2SOQPVLIHoHsEcgeecmedcjCdfQIkz3CZI8w2SOF7JF8kBM9UpE9UsiedNoc+hkWVUOPQPZIKXtmx9Bj4dmSPYGXmm1uXu7hpWab3i738FKzLa3ZE3ip2cbPlXtQag6VUnOwW/RkD16VwErNAaXmgFJzYKXmgFJzQKk5sFJzQKk5oNQcWKk5oNQcUGoORak5oNQcUGoOWanZZeE6ewIrNQdWag6s1ByKUnOwLHKVeyql5kBLzQGl5sBKzQGl5vCt1Dwy9qTD3ww9o3KXqzl6Rs9drrfRk84Acbmao2d03OX6HHriki8fy1VcLr1Fy2rPfrHucilcrh4uV89cLoXL1cPl6pnLpXC5erhcPXO5FC5XD5erL1yul8Xk4XL1L/SMWZi4XMpcLmUulzKXSwuXS7PI5XL5isvlqMulcLl65nJ5uFyu/OJ6cperbbWHy56pOXuUy57pbfYolz1Tc/Yolz3T59ijkD1akT1qt2jJHmWyRyF7FLJHmexRyB6F7FEmexSyRyF7lMkehexRyB4tZI8CLgrZoy/Zk96+K0yqPUz2KJM9ymSPFrJHLYuc7NGK7NFAqz2QPcpkj0L2aCl7pkArzXFhLV0uzp6hOXs8Z8/wNns8Z8/QnD2es2f4HHs82OMr7PF2i57seVkvjD0e7PFgj2fs8WCPB3s8Y48HezzY4xl7PNjjwR5fsMeDPR7s8S/2pLfvChOXi7HHM/Z4xh5fsMdbFrlcrgp7fKAuF9jjGXs82ONL9qyUPUNTg104epbm6BGOnuVt9AhHz9IcPcLRs3wOPQL0SAU9YrdoKXuEoUeAHgF6hKFHgB4BeoShR4AeAXqEoUeAHgF6pECPAD0C9Egme0IWJpVmhh5h6BGGHinQI5ZFrkpzBT0SaKUZ6BGGHgF65JvsGbns6ZvKHm6wx3e0MXt6brBHIr/Jnp4b7KM0lz3cYI9r/RR7ehjsvmKw++0WLV0uzwx2D4O9h8HeM4Pdw2DvYbD3zGD3MNh7GOw9M9g9DPYeBntfGOz961HAYO9fBvs0ZmEie5jB7pnB7pnB7guD3eddTvb0FYPdP6nsgcHeM4O9h8Huv/2wkJZ7ntr0N83cYLfm6DFusNvb6DFusIfm6DFusNvn0GMw2K1isNt0i5ZfXMYMdoPBbjDYjRnsBoPdYLAbM9gNBrvBYDdmsBsMdoPBboXBbjDYDQa7Zb8rfGZh8ptmZrAbM9iNGexWGOyWD3KixyoGu830N80w2I0Z7AaD3UqDfTX6256m/rpwk2tpjh7hJtfyNnqEm1xrc/QIN7mWz6FHYHJJxeQSvUVL9AgzuQQml8DkEmZyCUwugcklzOQSmFwCk0uYySUwuQQmlxQml7w+d2ByycvkWi0Lky8uZnIJM7mEmVxSmFyiWeT64qqYXOLoFxdMLmEml8DkktLkWjZqcmlLk2te+RfX1po988a/uLZ32TNv/Ivr2Zo988q/uLaPsWdf8kGXfbySPfuW59GCPelijT1Hv509+/0P9hwjVdhzJA9IdkiusOdIDkhWJFfYcyQbkjskv9hz7PnxKK4vrv3axZ5ly8JV9uyjVNlzBCrsOQIV9hyBF3uOV+6KHOw5pnlnz74RN/ak/XXoZ1hUhT37DQ/2HPt5kz0rrfbMTT+5uv/47xTNdY/+x3+neJc9/wJ4K2ex###3140:XlxV32DM 3fff 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###3128:XlxV32DM 3fff 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###3696:XlxV32DM 3fff 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###3696:XlxV32DM 3fff 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###4232:XlxV32DM 3fff 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###3608:XlxV32DM 3fff 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###3664:XlxV32DM 3fff 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###3848:XlxV32DM 3fff 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###3776:XlxV32DM 3fff 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###3560:XlxV32DM 3fff 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###3680:XlxV32DM 3fff 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###3528:XlxV32DM 3fff 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###3540:XlxV32DM 3fff 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###3624:XlxV32DM 3fff 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###3436:XlxV32DM 3fff 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###3592:XlxV32DM 3fff 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###3484:XlxV32DM 3fff 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###3408:XlxV32DM 3fff d38eNrVm0uS5DYOhi/jA4hPkZkxV3EEX4rojXsxS0fffShKJEGIqMySq2zPwpFt/SVKYuL7AYFME59/+GgfYl2fv/nIH2JJ/vlbZO6xbvr5wwj+WB77p98/f/rgyv8HrffPX56vfs3/kvm/5c88AnsEk+qY4jrm+vyxClXGWIWmxrR5tIeCY251THOMyRMzdUyTx3Is/3VW/CNY99wP8H7AlwOiHwjlgOwH7PP507ByX7/+u7kt/4P/+Z9yI/nvnr8bse2CtYMgdiGVM9ZB4LsQi7AMAtuFkIXzGYvi4iPP7/P4m3wXRXa6ynmC85TtJ7pDkYOid8UWxUMlT+uumOMcBS52Kmt5otTuL9VH1eXG5SCUR1XlDDUI5VFlOcMNQnlUcTwqvMj+gPWh+X6eF+CucxA8f19jKAKcoVPwz197gOTZYY+Uw47lCNmjwDD5LKGipM3fbfRHiMVwhm//8s0eHvmW+wFfDqh+wJYDR3TmeTo/zTmUPT/d+Rl6GPFyYuwHRDmQ+gFWDmz9wPJ87gHPcMCbGvD6DPiYZ/QMeIUCXuOAX3HAqxbwPc73aUyY3eYH8rYfeMoPtL/tB5z0A1X9QK+UH3g8PQFPj3vbD/jgB2XQLnQ/sGYQgB/oQYB+0MbK30r1A978wDfs8gQPfgCo98gPHFBGP9DgYoMfdLrZ6AduELofAKNggx8A6hnyAwaU6gep+YEBd034QcJ+wC5+IE4/MPpjP1gZ8gO9IT9YefOD88Dy/JwxrA4ZQ05jozGsHhnDag9jcCjydaXJsDPycxSekZ+xGiLfcBT5ZkGRbwSK/Dzq6BCY5pzUT/K2C80pvOcQGo8p65hXh0jxPYfwaJ5yQXPO01IdwqbqEAuaJ2ygRuJ5WvE8qcEhbKfDjw6RBmFaMXiqYvDIIXyLf98cwveKoedRjSoGNijQIeA5o0NwcDHCIRzlEI5yCEc5hEMOAceqDuEnFYOmHMIjh/CBdoiVcojRGE4fUIMPjMbAujF8hyH8jGp/wmIMgTKGNdWA367GgOJc4DhnOM458oNA+kG47QeB8gPtbvtBpPxgjc032d/hB5Hyg0j5QaT8ICI/iC3aQ/OD2CuGnv0DqhjsoEA/CEAp1OeIQ+7iAvUGEQY/AEYRRj9wgwDeIOQgQD8IQKl+EJofeHDXhB8EXDGstB+YtyuGF4XCXkq8WSggO3Dv1geCtAFe45zZ1/XBq7JgQTawkTaw3LYBQ9pAuG0DkrSBWj6ltF5sANGvP0m/620CSdEvR/rZIHT67ToIkP4GS/4yKv2yVwOdcYOqgXVQIP0KKGM1YMDFiGpAUNWAoKoBQVUDAtEvgFLp3ybVgKHo3zD9NtPvHuIRLvCD9sGHrwmXauB8b5i/JozZ3+Ls7zHuBuPuDtwVhbveKu7Cvv06cEn/y4vXgTKlU+51vMu91RSjOtUxA5mqFX4m3Ps4c7cccIaw9uDSVLNPj7DGQZi+3GsEa+/mLQ1WPYN1GfACsCgquSoquSoKL4XwgmOdeJXb5CNF+V8jRSHRXTj2ogvniRxqAqLI2OftLhxqvol5880nqvmmbW2+GU8131Yih1qDm0pqZCmQzTd9uxmfGNl8u92MD5pqvmnTpse8br7d7cGHEcueioImcmjQRA4NCMvQsAyt5xY6lqHdQ57XIYeGNCgghyYGlCGHpgVcbIC8F+pUD96jHnwaBAC5GgQAuYennJCHdM2hiRE5NOCeW54dkn7+z9EvKPoXTD8v9IeVpL+33uWX0x8tSf/t1nsyFP3qdus9GJL+1npfJUV/oKbH4unxxPtzvv68gi7ClH5DJOUiQPqbk+THqfSbRn9qHbCEKmjAOKqgkwHKQH/3knyxOf05Euf0F2FGfxFm9BcB0B9WoJz0l4dGHfdEVdD5j0f6o6Dpl/8c/QyvwC2tsq70F+gjBb1yFXprvh76QEGvzG3oNQm9vAu93yjoVa2IEmdvr7/bVpJfVyHh23K+7LwAL8KsAC/CrFdWBMC67/i1Xlk+VlmPjZyEeudRDApkHSoD61GCixGsR4r1SLEeKdYjYj0CpbIeJpme6p1H3CvLs0Oyrv5/6vywkNCvDXr19dBHEnp1G3pHQS/D7UzPSOhrIZTk9o11PqPqfEZlekbV+Qxl+nZKbHttAuuZvtGX53XM9GJQIP0WKCP9ClyMoH+h6F8o+heK/gXRvwCl0h8nmd5R9OO9NnGhO+XsX7C2fmmmObqZVmzAkj201jI36fuW1KMje2i3e+dJUb1zdbt3HhzZl2OtL+fe7stpui9H+IGj/MBRfuAoP3DIDxqJ+cuofuB6NdArf4WqgWVQoB/Ac0Y/YOBi8955Dsl577wIs955EWbNvSJAP7BAqX7gJtWAovzAIT9InvYD/q1+8EkfMNfSHyygB0/ZgGo7W+x32gC5s0bdbqUnT9mAvL2zJgTKBlRrz3P+tg3Ij98FOv2B2GkXArFuHgJFf0D0t8Xk2PbRhNCrgZ7Z0U478HaPdtolD5Rh3bx7SaT20QRP0e8p+j1Fv0f0e6BU+v113TxRO+0i3kcTNU3/i/f+c7vMp+hnX18NXFbSzfF2wEk/aK3zxVB+wD7vB2hnTUykH7DbfrCSfhBv+4Eg/YDXMaW/XxZc1tYlsbYeBNUZFFR9ICiHEMghWlqMfV1A9Pqg+wDamx/1oECHkEAZ64MVXGy+syZwyiE45RCcel/gyCE4UKpDzNYFqL35Ea8L5Nmh1tbV37C27oiuAH4LkCTudcPops33raRHcgeNTHdxD4pCU7bdAVa83vT22UJdUYW6IjbFB0W07YJCIPZ36bbJJagJiJHalhIk9aotiXXzIKnkKhE6cKyKznZdN49o94llH/TTwmd/vRLoX6/0FtwnN6FNWuZ2UUT3jHtRu2fRv/0TFUP/RKU33AAwlimijcY9u9lGs9wSbTTu4s02ml0i0UbjvrYXklGXNhpmb4pcdeP9ItNO+SFMquNDAMiFQejIHfdflHzdA7n92ImcZTXD7ZMHc9/xBXWl575jmqsCc5/lBlxsCvAed9PcdwiTXtkhTHaVHkIH+AjpqhwAHw895r79rqe5b/9jRPYHv0uL/4ZO+WVV7PK7tMJ6IlmvyTEm99WdcssXCnGX7iIuFhJxdRtxanmMu5pnY+Bv/xzN0j9HI+jf5gn3ECbrZIcwqXwPAdK/taBfGv1tnczyRl+e14H+znhRAP1iAcpAP/CSZd4p3yNx2PaWBmFS+R7CpPI9BEg/HOukny+XTvl+13P6+YLpdzT926vfmFToP/vT049yNpMUx062QN0uHL/ORTusgoSV387HiYLVptuwahLWVrd4/1fzsZ6/ix7CNB9rikiNiOyQiEak7vm4JfI8eWM+doMC83Ht+/wPGDV4WQ==###3572:XlxV32DM 3fff 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###3492:XlxV32DM 3fff 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###3656:XlxV32DM 3fff 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###3860:XlxV32DM 3fff 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###3616:XlxV32DM 3fff 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###3780:XlxV32DM 3fff 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###3708:XlxV32DM 3fff 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###3736:XlxV32DM 3fff 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###3748:XlxV32DM 3fff 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###3860:XlxV32DM 3fff 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###3340:XlxV32DM 3fff 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###3912:XlxV32DM 3fff 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###4288:XlxV32DM 3fff 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###4264:XlxV32DM 3fff 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###3668:XlxV32DM 3fff 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###3840:XlxV32DM 3fff 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###3788:XlxV32DM 3fff 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###3880:XlxV32DM 3fff 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###4036:XlxV32DM 3fff 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###3940:XlxV32DM 3fff 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###3988:XlxV32DM 3fff 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###4040:XlxV32DM 3fff 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###3856:XlxV32DM 3fff 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###3984:XlxV32DM 3fff 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###3676:XlxV32DM 3fff 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###3952:XlxV32DM 3fff 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###4048:XlxV32DM 3fff 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###4044:XlxV32DM 3fff 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###3660:XlxV32DM 3fff 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###3100:XlxV32DM 3fff c04eNrFm02yozoSRjfzFqAfQEoTPe9VVEQKQcSbdA16WPH23vympCylwbq3oidlFx8WwuS5BxL8Gv92aF/qtb122+tPr/vt9Z9gtI/ru22p+vVXiPo1uWH8T4jwGowZ1yXmZaLz419R48vNyzrGMu1jeaWO12Osn1N3jakWz8d0x5ih644xrXL2HHNR27z0uvaahNfk+3FbYNKCbqQ9oJn/1yh06zvz61/79tZ8/OHtvCcwFInZkrgmeg5zkegtmbbEhm1v7Jps38i6lfFYZ3v3I/ZqG1f7/vz0ts66v1uij3HVkehl6Ndxu23cYR8XlyLZZ9lvSVi6Itln2W3J1Jki2Wdp1yT4kAXH1DqzBn5Q2czWI7N+Qtl9LNVlyXrsxh/bP//sh377mqaXfU2/9kPhtR3346XnmB/no3bWKdAhcXrcFnRn0KfA7MFAC4ZlXzClNcK+IKYFfl8wpwUwjj/j/h2sr706X7cKGcO657C+6bPiGuazuAZzFVd3FdccWHEZKq6rps7Ajhce5wI1lpzMIie6mRMQObH3nDiZk0RSAYyn4gcGjCuSOjDAgDEEzEzAAANmoOKbRWCgBCboIqkDAwwYWyQZMJgFAjCzCMxcAKOnvQZwPWIcmH7wj4EpQMnIUQQK4yMQHxsWocRhAv639sIB/O819AaHAoOshnSOwbo5w0u2Pzbnhp4w6K7NzQ8wWMfUAlpuGNrQWsccBLTAv1MQ+zZczTzr4PGsqm0zGUhrhfRFkkAKsyuSBNIE6TP6AGlfZ3u3DxmzOGfoKMcjMWfShWPIlPiCoeki8kwSQ2EuE2Jo/b/LkmuC5pzgQZI9Z7GRdO5IztScr7PhdKxT0gVKokvf6chwujSj652G3tI1iXTpe7osp8twuhSjqxPpss10WZGurpkuFOkyn4irTtdC5YaMLlckdbqQ0QWUWCpeTHQtWVzQBYqSrqQLUzIxunyR1OmaGF0hS64JdhW6OqLLinR1RJfldMnuchJdz5WVztxuzu3CWMMMRYm55Q1mH7oLRXf1sZUuFN3Vz610oewu9aXLp8RfzhuSYJDbrEyqvCGzGZLNkGyGyWZJnchslpyFzGaYEm6zMqnyhsxm6LLkmmDFZkg2Q9FmSDZDbjMUbdZPH9rslFd/rlk5RcSHmIk2c7HBZqpydrRtRZRYH5oxEyW2fputmIkSc/PzU8Thji4SDHKblUmdLmYzJJsh2QyTzZI6kdksOQuZzUJKmM2gTOp0MZthyJJrghWbIdkMRZsh2Qy5zVC0mRnubFbtWLxrUGC9QcHpCrLEwgOJ/d6PyKEKsrugFaoguwtboQqiu9zU0NIY3rY01s2RTgJzF5RJla7A3BXIXYHcFZK7kigDc1cyVGDuCilh7oIyqdIVmLuCy5JrghV3BXJXEN0VyF2BuyvIV2JfcJd00tjMm2wz+JLN6mePQdaaayZQ1ppvJlDWGv4JAkk5gfkNyqROIPNbIL8F8ltIfksyDcxvyWKB+W1KCfMblkmdQOa3ELLkmmDFb4H8FkS/BfJbYH6LKoh+wxsC1V0v5IHx/CMC414FdQLdPYH6tjtSOjCqRSLQtxIYldTWd76VwKitSKD/9ra+3kY5SnLbcE5gVKZIagQeSSIwavqMOtv6+zongVFhFucEHiV7JEtBYNSU6PIWWFS2SGoEHkkiMOouS84JrlvkBO7LdgL3HakSuK1zELitwwiMEoH2834J4w1HscWfAKzxBiJvfUubpKBLa5Gu1s5+1Eqka2imy4l0Dfd0DaPY4k+4FXRNVG6O0dUVSZ0ux+hKZa2ILpfomrK4pCtSohldKQFGV18kdbqA0eWz5Jqg/p0urS+6th2p06X1Rde6TkmXlv02/b+7kdHIWrPf1o2MWrTZ1fNs4E22mWrlzcg2676/GxkNCcZwm5VJlTfDbGaSAclmJtksUyezWeYsZjNDieE2K5Mqb4bZzHRZcvFWsZkmm2nRZppsprnNtGgzN3z1fPLBIx7Pruiikf2mv+N8srzbFo1oPNd6PyAa0XhubiZQNp75/iu6aEhChhuvTOoEMuOZVPpkPJOMl/RqmPGS1wwznkkJN16Z1AlkxjM+S64JVoxnyHhGNJ4h4xluPCMabwgfPjvyoKcCY9MdgmhF9Q1Lw9MkNz2VaGQHhmYCRQe61lsF0coObLkjd3PPIFrSkmUO1GVSJdAyB1pyoCEH2uTATLjMgZnpmAMtJZY5UJdJlUDLHGi7LLkIrDjQkAON6EBDDjTcgUa+ohsennPet1Kw8ZzTiuob4vNzzrsOipWN13oXIVrZeNjMm2i8YW64xrs757QkIcuMp8ukzhsznk2FTsazyXhJr5YZL3nNMuPZlDDj6TKp88aMZ32WXBOsGM+S8axoPEvGs9x4TuTNzK28KZm3R5h5J2IWvoAZ05oXMcPmRqUXMcPmRqXvRcymTzB7T5engvd9SZfriqRKl+9Lunz6DNHle6LLTVlc0OWIFM/o8ilxJV2uL5IqXd6VdPk8uSZYocsTXV6kyxNd/je6FoGuLnxDB+UzqIIIFXxfv8RbEarm/qQ3IlTN/UkPIlT44LGSG5ZmKi5gLA1FUmcJGEskAm+oVCGxNGdxydJCiWUspSQwllyR1FkKjCXMkmuCtsKSJZaMyJIllgxjycumWr72Q5hnN9lqUIFsKvfJldj7E0KQTaVboQLZVKYVKpBN9bVbagVdQPIAbqoyqdIFzFRApgIyFSRTJS0CM1XyETBTQUq4qcqkShcwU0GeXBOsmArIVCCaCshUwE3lRVM5fPw0yV3LERpvqYHsrv6Th0jedxpBdBc09/pBdldzrx9kdw0NfY67e2v0CNS24ZK3MqnzxmwGZDMgm0GyWVInMJslZwGzGaSE26xM6rwxmwFmyTXBis2AbAaizYBsBtxmIPf61Z/7ldrDBiPKWvsDP1eLKPoNmlv8KPoNmlv8KPut+7ZnkSOScpD5zZdJlTdkfkPyG5LfMPktyRSZ35LFkPkNU8L85sukyhsyv2GeXBOs+A3Jbyj6DclvyP22/xUL+8+o/x3m88Bnkhs+ltzdT6ifym4X0/8ATaRcLA==###3928:XlxV32DM 3fff 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###4020:XlxV32DM 3fff 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###3864:XlxV32DM 3fff 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###3772:XlxV32DM 3fff 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###4004:XlxV32DM 3fff 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###3884:XlxV32DM 3fff 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###3836:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 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###4000:XlxV32DM 3fff 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###4116:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###4020:XlxV32DM 3fff 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###4144:XlxV32DM 3fff 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###4020:XlxV32DM 3fff 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###4252:XlxV32DM 3fff 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###4164:XlxV32DM 3fff 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###4000:XlxV32DM 3fff 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###3664:XlxV32DM 3fff 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###3768:XlxV32DM 3fff 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###3808:XlxV32DM 3fff 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###3872:XlxV32DM 3fff f08eNrNW8uy4ygS/Zn+AB5CAjt6P19RETwjatO16GVH//vwEJCJwbJd907MplxXxxIIzklOJtjdyE3f2E3/84ej+iYpv/9hHL3Rbbv/lJxHOP5tbvYg93Rh6xdYviDahT3kC3v/Bs0XbLyQPt356fsXTP5C6Bf0/f7LbSx985cTJH0auul0a2qI/JM7Z3d//8s4ddMqpO6yGyeG3PMbHN7cfx6atmfK1ItDs36B5wv91STJF/qryfhqvyRNLd7/Te3rof3jqO370j7zRp7tB1Lbr80OrR1jazto7W/KQ/ou++fP3G784v1HHKKM+IAQnhBf7nEIYQlxBZEIoQmxCdm0AUhs//4jjnhBdENc7cGeEcIQknsgChIQknuwRUS5AwG5A7w04wBSOrCx+79xxMORbjI3frMDLzkJkTKEn1ziI9me8PNk8D4S1vZvqHslKiIoYKycM9YMjLVqxVi/VcbGHi8Yyy4ZS0cOEcTYINPNHDJWlPbjqBTCumOrzfs0oqSMaHnEL7s18luxIr/n9VXidI/kP/slxlc5xlfZnspBWltJEjsC1KDzWHegiUHnKe9A1wJgb0aAFuwOEKiFwsSEpDGNw5JIaso9vR2FVHLIgBCgEssR0lRCN4XvaTJh1DiApL6VvqT/xfHZCehfnMZ4H+FZRJtP0lcxuKtRRMFciOeUhhjVBGN5F8BFUFfToJ57t00kYuNL1KC6vSwRMvKK4xju9wWNHde1ObctY3jn5kUwF5C9em8cie2jWN7jckZALO9RPiMwlnOEAP76AyAolvvG7DjgkItgzchI46Km+BYQsb0EyBCx9SJiM7MtIjZZk64G6iE+mzE+y0a+KecewrJdhGVvRI1l+/GykeicqyTAQXhbBeHt7SC8dCC2sVe5VRA+xo5vDx2fsTc222hlsRXZrURIp+/hBULm4XewItYABIdf3cLbNoTfZlLirILwyzZmENIpf3iLkB5+3UERAsNvAEgNv9sk/G44/IbDrxRB6YuKOOPy1GMP0tD3Vz22nEsjLKWxfyANtvbYWCPHSiPH2xpxS5de9RYmER5F9lfs+oY14jpz3CrEWwc1oiwG5hJxg0Q8QLBEfKPgMUikO4ewlEhAEpGMIGQukYAlYhlAqkSOiUQOLJE4aYv0c/fybYdCVtJ4cPXXlkXOLIuhYqERGkenUkztn3sWOhXJ5sOC2ZTwlgBL/7IHv3Yx25CStrjpA+R4JJJHCExJA0I6ye2BnwZtDLwHkBwQNk0CNNtx8hACDY5ESKdytz4F6Q6nMLIi0OEwmj3WjKwHE687G2Snp7WS61z0BbKe3Z2TlchKmjVZ6SsG+0nVJHZArlhLydE6wD/IHJ+RlVF+TmHuASSrrjH0RHrKqAgCGlcZZfiWxlXFdgAAqiomGnCgoEsJR0hjavybIaQxNd7jEQKCbrX8+X/Iiwu2CqsuVKJWfl4YbnVtuCeBsnRgXrBrZkLLB+qNK/AsGApGl8t88/DGvcyq7YmHhylXaheu7htxCJkV4wrS2NRLFgXogU8wBhC4upfXLci2qMUVpAc+wThCQJWhVekK0gNfmbKK4MC381Uxjm4vFuPeWK31mnLPCwyjo/Wr1Vrv6oMa3GuLdRwtuXC0OxXvWlq/TPt2WdM+Ta8t7QPXX6pexPZVW49x/rcdG0I6+4GF9UP+B6oHQ/7nDUDgul+4l6NcHFdkbn3L/zx2BMoQhIDym8L3TMtvXgzm1gIkm9vcl8HclmvA3DKSTZONVHAPFRG+kA27r+Ly11asf1rlztA9qsatVGN5W7QtW6mG31cxfFanjsubGoqAVSxG8SaW41os9YWSaBxbiCaIvZr0yW7NFxWs6aYbJeLbxX7df6R/Um4lWz4WO4EXk4CQJidjKQKAmroCMwLU5CAC1USqjy9dA2qSqmnGYTWV4ezIVE1uqSaH/XWhV0VONRH1qKZ0DaqJ7mxVTSH2ZTVdb1R+UaExuGU1xV1XU/iqFkEuLPd+LNee/d21J5jV2iPtN5YcO6liB6BGlBIIAUtOwPdMRZIRIJKe0kYELznNjO9DPSW0ZSq4VT0l4H1RpRRCpvWU4IYlBz6tLjnHZMkZ6imCrVJUwdf1lIvM9HHNMZf1FPnSFlDp7tSh2dDsDbt2aFeJKd4cFWy5o2nbdr4yLzN7v1wgMMVl8M1qi2EZYAjpFBf6QAgsGQaEwKRiBwhMKszWn4Zy1HNsOjKL9gUB0f7YEAKTCtgOzlHJiqpU+6+L4x9v6I9UJSuqOr03zvgvDuix2VUC4DbdSiju27wMSGUJSgBMKxMXoDEVhMKCwLofRgBTCURQ+ktUQxBTtTYIaEQ1BAOw6icRAnhKDoDg5JesXDzn+jtOolzxVb1l5wXZV1VActQYGzT5rpMojFK9dPjiQ4cv8jfmJSD+xJpciUE8F4MgrbAYnwuNPYiNsWvItBiDEBDRe6zPyMy0FATqhAIEmRZiYd+AaeHtAEDiwty0FAQUkAhBCDAtZkMI1JAAyGlaqH40LekaNC02m1Y7cfab5ZcKe9u8vFfRfNATMyvL4kjbZFRfbVnsblbbpCK8aewFW9XlPQsflOWfFlAhyRiqxlO3KYTAEzA7Qro0jKAIgWYHPg0uIYVfCUmjCKVhtt43M9ggiRBYWz0QMkt6CwL8fE7I+YmU/dHUl77QgE3SDODMVywzX/N/d45AELnMfPm3nSOIg6SWme+7h21E3nOZn3hU15nvxwcJwCLi8SISKELgIuIQMl9E/LCIBIDgzLdtXe24PCRIS1mIXC4i+HyZCgIhs8y3IDDzdQCpme+kPLTj8pDMaYvLLu0/xp/Fvb6QhGjVDnfaq+elouv9ipdzjekxg27VUIoRP7NFMU6s9s5kyknPvbMXSkfX2xazlEPm+Ib82l6a7weH28ZdeMGt+bx0bbPlRvej0L93dgEcF66BO70HsGhOnDRN3YHpStuULQDY+a2HeAvQ9KPl0a8D9ciaOeeWs3YEzd8/F7M0sUg5nEKgCUfXOle53mTj6quV6000TvB+HSwpjCnWe9TV8res2b+sSXmURrFinqzqR3t4d4n5HxaS3PK4vd18S3Lc54vOlT0Ty4QktD1p4r6rohQ9dc0lUk/gkQcqNEIA8dtJl4IA5rfzvwXpS4dpZzsTgpYOUQ2Tw4fh49MEQsCpB8oRAk49UIqQnmUUhlYEZeqMqtVhssC+47dMV8dyZlQ9ezmjqmkl+r6l++W/ZYrtHwuqGtqPQIfXc+enxNzEGURzu5CYzCmENGK6mjGfQONlC+En0GgZ/5YAgbQsb1sQRMtQg+wJNFZ6SRHQSOldQEA3LWVKKwI5GXKK46Mt8SMlzR4HLjxxJN9an1cTL//oTAZvH19vdXze0rY3bOgXJ79BPBz4Oa293F3zJAJY+z6uF94kvpFequF42IH4IHCLp56/LenpHWFBiR+kUQrVXdl+SIT0gM6IQUgTTlAYgMKBCBBOqLl57lhPBM4BK3fgU/dQBejUPWP1ONKJ9B+aCIcAKKm9ZMwZyXlA6glMmMneewjMTRDgeiRCNDchmxvG8zDscX3YR3MT9RDTA1OYOaQJj6XV4tMZ13JR2TxCr8ns71Q24zNX7vsIvj1Tv+G/T46loUwdTvz6MzcD6BaHps5dRrp5PQeN1bsBE0z5ieGJpBkod4O5iHfv4LndaiZEgueeiDnnKazmieuP5mlVgZaEfjxPajFPsv147TfmSbd5UsM8+TZqapin0BC9nCfd5kkN82TAc/E8OfBcPE858M3nyX40T3Y5T/zjeTLLeWK/P0+2zZPB86RpGzWD50mzhtjlPNk2T2aYpwCei+apHTrKd+N52lp9/aE28l9ndkBB###4252:XlxV32DM 3fff 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###3964:XlxV32DM 3fff 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###4060:XlxV32DM 3fff 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###3900:XlxV32DM 3fff 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###3928:XlxV32DM 3fff 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###3412:XlxV32DM 3fff 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###3632:XlxV32DM 3fff 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###3840:XlxV32DM 3fff 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###3828:XlxV32DM 3fff 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###3840:XlxV32DM 3fff ee8eNrFW8uu27gS/Jn5AL4fNuZXBiApEsjmZpFlkH+/oiiR3TLbsj3nYDZJoIokmip1V1e3zP3+wwZ5Y7e/4hJvyen7/afjej1w//PLF7v+Q/z+mxumb+v/u//jZF6BKDAgKrCsAJdlQQivSKqIYQYg6z3u/yyaNUTtiBJ+PUfVc0xFFBMI2RagG1IQsq1A1TUnjYBtAXI7RTKAtAUocf/zJ/LA4gqFm7iF338tPKyovK87wm9Su/uP9QJ9hyy71wNqHODbAT0OiO2A6QdM2Q6k8T/idmAZB9x2II8DfjtQxoGwPph1ufXB/Fz3rf5d110vWm/Nfm/LTSbf/xcXfyuc1x8gbpIty337TTbH+rD5eNh8e/piHBBnOsjtwPixjgF+RG5yON3f2nb/sP7G/f5G7fcv7HR/e76/mtAR399gfh7EqQvZiVPqs3bZIKQz1yeLAMhcjxDA3AwRyFxZ3I7UJwGZK3NByGCuLBIhnbncLRYhg7qNnwcCqSuYcAR1uS4HdQ/GHkQ9+HmipT/TMnZantjoJmzclzJjYxSusUHkkB/YeJDgePbHI4dMy84TTEuZHUwLjmKaPjPN3s93GxQcBOPLcjz6ugDIsCVrhHSGrecEhACK5YiQTrHIOAAAw0JJDdg2FxBMMM4Q0gkmGMsIGQTLgSOkE2x/dAeCYqMNdtt5ftMnggnFKYIRkbDGyoM39cqe4EsyaedLsfqSL1SsEuhRjveu3nf+rjYEvqsLQsZWjje/IeNdbft1IDjNWEa9qz6d0oy4SjN7VjHnzJT2x/DKa+ynScVy4rH4uByvmjVUUpGXSYWdHxRHScUpRr3qqd+/h5Hxqr/6hp8kzvGC19vCF1wWhpD+ggetENDfb79gYGSQtukHAt7vobDqrhMJZEM6KYN2CICclAgB+cMygCBOKpEJTops3+XkTsFn0if0HHNSPK4rngknlcokJ9URKqL9nJP8udCxdqE4aTonl0ClH3MnYpa6PyY7FHbW+2JSSoSMrAMC0obArFMQMmhpbQYIFDbWpkMrrzsPeal7eGvIVJJvyCAmkPEbAjS5yADBeUcGgpjqUdgggkKF8xAaKQUe7iQfnwVLKyNBzEX6Tgw6hyGCToIVDo06ZUpvM9Pvxl7W2+ZO8nEaLJeDY3UhgJdBBgSAQpEhYLDSaI6QwUqdFoDAYNn1cd11IoNvCAiWCgEzsd0QkMD7j6kIFtupZot0k7flnMBdTeDs4KQ8x8hHcQTyNxkiqeowTrj5I/llD57xpMuXQOkssTzR5ShWToIXmwSvukd1XQpyVO9VQDhC9WJ7qM5121jbhHaNn0m1N3j/QZv6p+rMtJSD90lfh1+qzrRPeb/uYDzk8frr1nXd/6l/nAoEXILCMiDgAgGUDoEsEHANmoMACHgpdk72tamNrvEk95eAi4ejCt6R8b7YjID+vggW8Cmgdlg8QOrS2lLqv/78coaB5a2Pej2PyS3Cm8SpyiKkL5Eeo9YwSRDvQI69flzcNwkImJ7rSlDsGo7ZhoDqo0e1hsyUXkNG8Gp7eiAooZrNLJqaXEUTCfXJLl95B08S6iSPGtLJ4qp0gcev8uiTx4J8K+aIeJJ1PtgQ1ctuwpM8Oi9B6wKQrhuPmjnkV5WAgBErXMgIgU6rBwh2Wodvi/0qwwJCoKwTCIGyriAEsJAlgGBZJxTFwnxptVL67lmZ8VADUw6rm+o7oancmUJnin7ZYSWF3slYFY6sgf210DO03UUZq4ow/gUmqmIMIZ2ormtDIxBRfcoIADwV8C7Q9eJdmwkNaeq7NGxAZ6lLCQEwVOJTgM4TCiCYpJYRmUlaRWYmmpvA86LNldAV/Jr9LvPQCzTCpR8nso7F9gIqJCl/wZ78Bdv9BXvyFwQTpOdl+GUZR+T2PS+9pZn9k/Szr3JuXasXrOuHt5tSBeKOXe1IvOYLU13Xxs91rZ7mIShAIyla48nV9giZi9aIRasvAIGJKPvhUTMsTRlGhjQFVjjD0pRhBNraDCBYDcWFVEPqPyrhJrIoUr7XUvjBS2++pnTjpnCCjpzJ3k9M4bvqLJ5687YuBRIzpYgQYHyNVl/hkJhCiYSQTkznIQB46XzPbhHbXuuWIWTQMi4IGLRUIiIEyCN0zinzCCLzqPXcl+UR1SLA/RcrqZpIdJERxQfahjL6zx2ZkYPkKTs5hMy6pw0B2QnkOnnKTgIguCOjyO6pv3K/9919LU1dFUevhYOgqI5ZUb1uCa/b4OzNfGUy1ZoJwnZZWq5aM+bNsLDWp70Bj5o0odvUDRg9GoXPGGo0SAQANboUgICgMIZd6vYTPRrlqSa/Qo3DYCMCQI9GOYAglnpJjacIpj5owX6ldFoXR1XugeeHGZB/18WtPo4iGOhzeqcR855ismXYKgoxkGcEjLzkVUTIEEza43MAB5MECBRMuqesut+QhLaLuYYMEjrPETKLlQ0ZLGxUOxCk5NVmmpiVhebMwqqY7OaR1r/3eLeb4Y+2NG+aW227OzOKHQ/dKDbvGMXrNdX5mua4pu/XPOiRy/U1fy1bKS5XDVkXXG3fv7fbABd43ZpdgzRk82A1b4jriIburIhOgOtWY7SdPSzSerYE192exrq9DdHgujsSW8XFWuNM3uI5pyVONCmI3PbM33MPQwXPWhC8AnJWX4XR09fvJC7YcuCCbDmkT1sOnpxCGIV6Si9bhOpOjzfVaZ5wFCrrb0ENhlGreUbVap5RtZpnVK3mUfoLtgAA9RcYWtpgMOgV8AWFl5IDQkBBxhNA9l4BF4+9gnoM9goUKdSk/44JzWs7O77kH7YgNy3gsv/AP3x3QtMujizpQn6Y5vnA6rZPncSQupJfTpZ3wQis6ApCZtPFDQGjDEsCCBpl6I3e+iyI6WKO3ESeSkHIrKhrCBhl6PqtR+Su3xjleXOlSa/husr42uLCM4qqwYUHafXEa7guLiBDPY8kQ8cQ8fW815tFRRAHleoC5lZDQ2ZWQ0Og1YDPGcT0XSBWBBLTHzF/2/l5XeEZImYIGgFj6r3gawFB14frPTvR0mzDEX6lpT97DUa+XvyeWfggCya2lj5Lv97tY64bn1F+UMii4OepcZnC2dFUdIJk1pOMDRoh9S7zXl5D4IxWQAiYHOQIAHHNLwBBwzDdkNKaMPAbMuuFNAQ4Un1OpiKIJZGew7L/TeZ92kiO5EBWBhaJ+8ZPIgTZWhadc/7rW8vjuwZBdeyyIOjYkE5H5yMC4KcQHCD4U4iDW/E8nNW9kIiHswBTI57OGj5sPA1nxT6cFU/DWdwqapJVBvUNk6zxepL12bc7VhWSqPogSmHfNtJqNFXgZJV6y0tfzxJejRCiT3ZC7C6bxlbeMEU0O9GUIwTKQYUQwFMFbwNby916r/tPzBBuSKdpVAEB04bohgDLWWWAnMw8qvG0LO+G03/TgLow9ci+E+8DdzZ8HkXnDSinC9UPlf2dyPmL3b0g+zcAuhCxsyFj2iFqBMw+I2sI+AhAZ4DMPyOr+w5I6YJHwOBk96QbMMvxDYHWHkRwG8QHshnKv6Tr9D3T18GT09cidL6wb+pg1TGdRH6VZp+ULl80j8296LNZIkHmRpERAKMpRgZzgWEt0mlQByKIuaMD4lHWB00TH4lvqhoyWiNdgzYAtEZ8AAhO+tuo0KyGkfLNGubZ5wLX42Tz6TFOfRHp09G+L29MnbLXPhMw26dLatamM31qIOrPp8fwR5JAYRZGKczC5gVPA6DCLABBvfmeuTn6FHJUQg2Yfr7HHfX5Hnc4c4/ZM+7ODZBENEB4HaD9oAFiyQZI+rgBYsgGSHxogPwfAX5JhA==###3744:XlxV32DM 3fff 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###3636:XlxV32DM 3fff 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###3884:XlxV32DM 3fff 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###3732:XlxV32DM 3fff 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###5612:XlxV32DM 3fff 15d4eNqtmk2OLCmyhTfTC3Bw5y9DdytX4lfqSdeghqXeezuO2UfErcp+pace5cmDAYZxMPCAUY+vdo7XDzOq+WqXef3793qar+PL/nFzZXyUHndpvIKWTs6W18+a2l1gzpDukot66al3fIV8CWpne/2Md4OPdfywjlifWNdp3Zd1+LAOWFusy7Senlhjzw9rj7XBOk/rOtsezTGi4ysa9/rZ3PH0ev+/IzHHcdfxT0mpHyXnLHGrJH6U2FlyrdbsR4mZJefyIL2VLA8uO4Ptj7dgx+O4qxyrSu13yUkVHeJEP0O7B/bvYsyYvuQv+5X/+Ecz+S49X/8ozXzl9vrn3fldev9bvmqwr0lcNzH/Ogr8eAr8tjQPUTdRHqJJ1S5/xzaIr9dv93hmwW93ZOdf9W12dPzxuFR9f/2r3JFIw00n7dd55P56/A69vP55R4w24/maxNPm/XcPJB5PwbWJe2S/3SGdlk9I8i/dhrC6bbfSnm5tr0W6Hccv3YY/dftLb9dbb79nN2T6Zrein6n+8VTZBacKfeT8UWBV0yO5jwKj8i3GvhW8q/cwnZL4rt78OL4LEG+unzXQ7h23j4It3TWNWrKle4f6TOYb9dlSVX6qug8ZOil4U93xq+oyqtsqE/kJkV6qw7+Q35n8N/Ird+IS+ZXjT/LT6f+Q4V/owHyo7kz2G9WVO/Et1Y1Rv1Odf/3S7fX6RfUO1b/Jz5SukzMdeNPf/X/6KEGAprX8UYIC7/8/W0OC9//nW8lHBk0XJf4zg4b2UfKWQZP9KHnLoCl8lGwZLq1pyYcMTc/fyNC7/EsWPF7f6vFvp8M/CbN8L8z/miB7/kah2RhVaAvfJUjz+laqfzNT9vKNZqcgpf/k/7Zmw/ea/avc+ZYib0fec+fOhE/Bzp2pfxTs3LmT6lPwljvfC950W0yiIL/LNnb3UbBTp68fBYg2tfZR8JY6e34r+dCsdfYbzZ75f7dx/99Kjb8qNf2VUpe3f6nU213Jbu36X2/l1p3fCHQ4o1t5Gf9/gV6/9u8+BDo06U1H3gRqzfFZgkKtieOjBInmw38UbIna8lbwLlGbKbDvEk35s2BLlLy6CpDo+KXGluiaWS15k+jvpa8V8vMGrx/zv1LnQIqI+ubz5DN8Vb5OvsI35dvkG/xQfkx+KD+s8OM++c//lHfKu8k7ePVzTD+H+hlljp7z9I/5n/BWeTt5q3yza4J+3uD1Y/63+FBWmvp5g9eP+Z/yVnk7eQtvlDeTN/CH8sfkD+Wr8nXyFb50te/TvsM35dvkG3xVvk6+whfly+QLfFY+Tz7DJ+XT5ImnHHV/3uCOmxvwTfk2+QZfla+Tr/BF+TJ5/Gkatzbj1ohb1TjUGYdKHKqOt87xVsZbdVx1jqvquGqWdm7w+jH/U74oXyZf4JPyafIJPigfJh/gnfJu8g7+VP6c/AlvlDeT1/HWJHG+wc0njfN49GNc/eOHrfcnaGi3uzcKIK8oNzgHV+EuuKKoG7iMnaX0hNulBzXwpcO17d+umygd1MX7BsrYZewaY7vnXzlGWUGZ0s7IGyNvjLxhV+H6LsXnSoQq0Wi75R0/SisRqvRbiW4BNezarku/mfG2HTXq1s0R8UrE6+aIX90q2Rx9lB1Jxla2XohV0fH6kEAZpHY+HnDUSJ5SA9LxRtNB6t/MzIocSFuOZ4HzII1BYmyJkSfmMqGXRNQSGk8oLBHdNBIog9QuH9tO+8jGU2pA2kfG02wTqIEGSHvLl8YgnwdIlZNdANGyqyA8dfQWLjh683ga8T7ScmRsEU8jvWXGFukt4WnednhAlsqs80z2ySgxk2kyGekaFaStOOLsiPPFHDmTKL1AOkp3OtDmMqiCNBrOWTg8OHUczmPnI4hWPF55vIp45bWVkwzniZ8nfj7v0gFiNRI/T/w88XOsRpfwNBUQI0p4Tx53Sb23eOrJp57c7llRnlzs2SE8K8V3MkPX3sLRQNpyMBZ0Uaq9BVZKMA6kY4tXA5FpmMHoLkrJPj5TSvZhlTkysCPfO/KGI284co7r1CWPO/aZgMICagqXAW07osFKDhfRuMiEO7Oiq8hajZGxRcaWyays88g6d+Q/R/5zrC1P/nN7Vsl/nnXpWZcRJcayOWaLk0LkrBLZyyJnkMge5cmnnnzqyaeefOpRiSefevKpJ58GlB3CASLOwYOYI2IVQgAxDs5XkZNCRPcR3UfWTCS6se9WiC5K9OR7T7735Hvv9j59wREN8n1IjBcdzO9iRYw3U4PYB85wgdwUWAuBeQtb7ZygAislMLbAWS9wikys6YSukmEnNhXEbn+ynxt2e84Wgf0jsH9EdBrRadizwP4R2T8i+0dCTenaHP5d+Hfhn7dw+Ef2ScxWCrSMmhKnqoQSUzJw9MaJLLE7J2Y1sackdo2U6Y0za+IrIemOY+yZvuavFze67Nf8gWNyTjk7Sy/Qw93rfKHzOr7mjw+KZt3TFqmx0FPj3pmk5Wi1vQfNUnOf9KXGeSlX1YPzjNg1QUdp0q+988E7euwuGSViMraqmTHTlfursRRpLfm+gLXzYum5yrPWzS9Pc/CBVvlAq3ygVT7LKh9jlU+ryidT5ZNpuueme9nPI01Ly5dx62b+UPTjHrb4bio7xXWJWjoJ8bqW5PTScRRx9PmB5HFUkVc0oyecg6twF1xR1A1cxs5SesLt0oMa+NLh2vZv102UDurifQNl7DJ2jbHdOUo5RllBmdLOyBsjb4y8YVfh+i7F50qEKtFou+UdP0orEar0W4luATXs2q5Lv5nxth016tbNEfFKxOvmiF/dKtkcfZQdScZWtl6IVZHxmnE5RUHbM8cBp3a1Sx/Rzx/agqyJeLhpFg/MJPSmaXBvlBR1ba75Ay7RmTpvjITPlFFAAUTLQbl6ar/VDkWHB1XqJjhDXZ0sg1c1UjfSR4za72FBJ4iRH9s/uLA5bbmprG7UiEZgEhqe4sERQXscGcRkHUTS6pQbjdCN6GNPuc7gjQzIgohQpJXoQDtWXVEikgkuw2W4gg7wvjHyZuAMnIWzcGEjnf0W4SJcgsOrHioIDj13jcG9UFgUl8blUF3dSON8sECPynycFqRROzRCNzIgWk6qq34lEO25E+RAaMOpXXcGRA3PbBHdalgzFk+tanecaMiil3OvHnR60spF6YVyLhR2oaELJTLKwdofhtSEp8Og7EQrCf9ItoZN0ujMmObwr7MaNbGa0eijMaKCTuvOV1o6Op7u9k7USVxa3gglvnmA95pL7tnfKmHt913aQDofhyG6JpBPN8ogevO0N4iVZ8WTMatnjrx61S7ttzkUW3e+zyj2AjmQBwWikVmXKIzZb51VNvY4yMWdOfIowhh8UTTQwTiYc8OaNqxBtuSDzDr00HIjYqoHo+dt2XozdgdmnkCTnOvmq711MlI0FN0NCrqnXzlQpbQoN1tex1y5ne9DT4/n2tHlxL3OkScnylOPvsp55XJTTs/o65R5ct4Uu4pdxe7C7sKuYFeUm6fRk3Op2GXsMu1Z2rPUPal7YkfdsusecAd9EJdMXDp2HbuGXdvxo9+y+01wibqDuoN+iX0m9g2uwWXay7SXaS/TXmPeGvM2E8bJCVrsmMvGXFa4Cpepm6nbmfPOnDfmvDHnjTlvzHmjvUZ7FbuKXYfruy5xbsS5oqGKhiraqGij4XPbPlO3bf1Rt1O3oqGKhirjrYy3ot2KdgtcgWu012iv0W/b/TLeyngz85uZ34Y22tYa/Tb6rdjVbYfGGxqvaLyi8Ypd3Xbor6K/inbrziXYlW3HOCrjKOivbE0yb5V5K8S+7PyCrgq6Ksxv0fmd92DzTYaiITdiymW4DqftzVuy1d5CVe7LhAv0MZ+7zV86BDW5Q9O6Bu4UNG/T5oMQRUPu1VaNharcsIndg4bcta3eFupy67a8X6jK/ZtwDxpyE6d2nroRLgqXqgcF6S0xv+lZeQ7U5MZutbLQkLs7rRuV6zqihbrc52ndru096rxAVe74VoQWanLbp1zGrsNpdOcN4GpvoSp3gdLv0Lmct4LLv4Wq3A9qXZ3LeVO4+lioy52hcEQy2wSna3DeI65+Fxpyoyj96i9ez92iclnbu3R9LNTlvlHszkP9mx8UwlntwwXlHjTkNlJ8cfjsqo73QU1uKNVO18e8q1Q7xjY/aBf3oCH3l2rH2DwxfdCQO03hIrGPAQ6fo67BhbrceIp/D+py9ynjjZrX5i2o2OWT9jp26CXpilqoyR2p+JKJFfv0QkPuTaW9zPxmYvCgIXepWle1m6uu7sypJXNqyZyCMqegedO6aiw05M512S3U5fZ12TlU7I6TGjq/F2tm3siucSw05G522S3U5JZWW9a84Z7fmj2oy82t2J06v/MOd3m6UJPbXOn31BHNe13lVAfzhle4B3W569V+G30M+hjah9d9a6EqN8HKRbiq7fkK13UcvtNegiNWkVjFi7oDO10L53Miu0BV7pNl/+B86tGa50zoOaP7vOsOuAGnuWShLjfQYsde64vmkoW63EqLXdFc4tjzFhpyUy3x05uB585auQLHHCXmKOlaXajJjbZwRXPsvNvWuhp763W8Cw257xafOXd6zuOe87jvmg8WGnIbLjHgi8DzReAHJwB2jXlDrnYeTkc078rf0ZBb89XvQkPuz8XOWDjV0EJd7tS1PR1HeHaICzTknl3b0/kNJsDpOpp373ICuBqcanyhKvfxchpxVk8yTvP9Qk3u6OUkc+l8zNt6sfOqv3lvL3aOkwx71EJd7vJFBzXB6RluoS73+2qnPjvOuwsNufPXunoymrf/Ytfp9zlJO9CQFwFSt6kmw6nn00C+CidzfjLnl54JF6ryckDsrpO6aOPUcQSnMQjstfNdgdhdaOPytKdKjFF9jlG/shbq8upAIh4jXMaO2YqOVhwtF53VqKs7xkZ7TVcyJ7eFqrxTUE4V6zi5LVTl7YKsrUMzkmMNur0GDWuQk5s/OIU/qMsbB+U4jxeUXVA22SeSfWJBnSXAaeZfqMurCIlV0VNQfL6ZPGjISwm1y/Rb6aOqz1ZPHp6Tpbd82dgE1+A09t6S9R7U5ZWFtscX0EXW42TpTz0FLTTkDYbUPTVWgV1toSbvMkTtQXNnCCg2XHC6f8y3GqLdoOstRN0bFxryfkNbDnA6CwtVedMhkew6+7Gr9ws1eeehdupL7HwV9YjdSV0UMciTo2OHInqCY6V0zTmeXLdQk9ciEl0X4SpcpW6nbodLcNqv5zzuibh3OkeeU/hCTV6ayBwl1el8cyJcPuC05YW6vENRO/0Sni9SlGNW0fhCQ16pqJ2eyEIm62XVeODXrUCWD/wyEVhbgV+Pws7U/CoU+FUoNMbGl2boukMsVOXVi9b1cJG6OlvJaJ5cqMubGPkiJTfN1zHK8eVqKlyF4wvc8AV+qhIXGvKKRu0adQecrv0wiD3fC2Fo3lhoyGsbWRWHgSMnHvoLVRid9rquCqO5aaEur3KE48shHrryEtlnoSYvdSQal67L+WZHonvpzjRf72jdCkfULs3U80WP1PUWu0bdBkfUnjXjQEPe+yiHp2SfRPZJ/FK0UJPXQGqn6+M/UNeavg==###5312:XlxV32DM 3fff 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###5964:XlxV32DM 3fff 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###6132:XlxV32DM 3fff 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###5604:XlxV32DM 3fff 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###5908:XlxV32DM 3fff 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###6360:XlxV32DM 3fff 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###6300:XlxV32DM 3fff 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###6492:XlxV32DM 3fff 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###6656:XlxV32DM 3fff 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###6592:XlxV32DM 3fff 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###6692:XlxV32DM 3fff 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###6852:XlxV32DM 3fff 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###6444:XlxV32DM 3fff 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###4456:XlxV32DM 3fff 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###4868:XlxV32DM 3fff 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###4288:XlxV32DM 3fff 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###4544:XlxV32DM 3fff 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###3692:XlxV32DM 3fff e54eNqFm1eC3SAMRbcEphiW44L3v4Q4T7oUjQX5SW4OZiiSEGXyEc37ZzfJ///7MMfe65ArN/SnctPzkC7Xc2jwQ/BDcGOurefQtX7Bg+DFnKlvPzR4Sufec2hwZ87Q1w/trf+Nw2b8Td/50Gvw91/X7+emUYPn5ErPocGL4EXwbNw9fM/6zjlRu/Lx+9vk3Gvw93+enkOj/pvHA/2Drv1Lx9VzaPBgjty3D/qywVJ/b5r/FFyvwR9z255D1+/Nr7smprD1GrwIXgR36Xp6Dg1+pcv3Px/6yGd+3j8xJUv9Oo9eg5+Cn4JbE2PPoWv9gkN7a352cKbIdjFqcJNi6Dk0+GNs7Dk0uDd27zl0az/7e20/6db+kUNf1p403zbQ+Nur1+BFcOgtl+1/fcmQP9xm1OC34Hflz03zRQ26zajBT8HPyqk+Z8pJ9Y66tW/k0N5umeLFxvM3avBd8L1ymg+MF+YHGrwIXgQ/zOX+twccutnHyKGPfPvRfu8w2i/xKDg05hf2hvmFBoe9gUODX/9dvuPQ4PZ/lzoOjfkNxtl+fqHBD8Ghj1z+y+cNlzt995hegwfBobd87f143WbU4FHwWMeX6j+NP2l8qX7o2j5eb2r7WGN80F+MDzT4ITh0jQ/p2nr7gG72OXJo+AfmC/4BDY75AodG/fsbr/v6ocEvwaExPvA3jA80+C74Ljj8DRwavAheRHy7Uj4H+2Vd7Tfla7Bf1uhfix+jruMj+C7iS3kzsj6+QIO7NxPqOTT8A/2Ff+Qan0kXwYvgR7ovio+jBn8Eh8b4oL8YH2jwXXBo2FeL/6MGPwU/xfqAeFXbxxoc8Qq8rZ+Ij0+i+ID4SBr8FPysnOwL4wX7ggZ/BH/q+FJ/EK/QP1PjE+kgOHSNH8kP8RW6xg/BoVt8o/60+EYa/BT8rJznq/JR1/kTXI7flbat909ocJs213Poal8cT6p9sW7+PfJLcMRrcGhwxGvwtn/gfI/L1/yPdc3/6v5j1BjfNv+jBg+Cy/Wrxe9Rt/UN8XvU8I+Wv40a/BYcGv7R4i/5R4u/xIvgRfC2fhNv6zfxIHgQvK0fxNv6QXwXXOZvsLe2PpEGh72BQ8O+W/wZNXiLP6NGfIe/Ir5Dgx+CH5VTe9r3o675peDQbX+A+Ib9AfyT90+Cn4K3+Sfe5p94Ebzlvxvvh8Pe9w8a/BYcGvXD3lE/dNsfkb23/ZEZ+o/5AocGx3yBy/1Xs1/izX6JB8H/+C/bW/Vf1tV/2d6q/1b743hd18dR1/gtODT8o/Wf/KP1n3jrP3Fo1N/yj1GDF8GL4M1/Rg3e/GfU4Cntro+P0NnuP3/LhrTD/5uR32nk0HX+k+d9F88/a2tjIHujhSqkGHtd13+z8zjz+s+65a977Dl0O7/Ix+B/rBFf9sTnTWbULX+PieJecb2u8T95S3nZU3pd/Sc9J7WP/Yd1Gz9aT9v4kQa3xvE51u563fqH/RP6R7p+z/6C+q3wr3c/Fsb4RRrfR0NpIX4+dNufXaEff2h8j/02fj40uDP8N+yINca/pGPI36Dr/Jkz9hwa7Xvr3fr8ERr9x34A/Yeu8fndMfTjA133VwlxkPdXrNv6XTivxvpNGvUfJj29fUBjfDauD+MP3eIXzVeLX+P8vfux0n8P3ewjD/ML3eLTkfv6oX06yV6tH+I5/NuFy/b5goxHGkf80jjin8YRP89wlXG/O8Zjjdf4nW6KNzfvS8V6oHGsH1r7sP5ovK5fif3kfu6v9VDjWD/PQPPT+jeuxxqv489++j/wfeUDGkf+4NP2mwe7h/KVj2gc+YvWvlLn95vX9VMZn7r/UjjytyvxufFO1wIyH9R4UPmYf2oc37tA+1y532/+883r+SPXH3aqV+bjGof9af4BrXHsH5y5Kc7sGN9xP6LxZr/f9ZeFf9fz9/TQOmDd8bUf0zj2b5p/1/xX4XX/KOxL7kc1jv5r9lXPPxVe99+Cy/24xuv3N/uRue3XeYDGcX6wpYfi1c12L84jNA77k/Yhz0M0HhX/lucxGtf8X54Habz6r9I+zf7leZbj8XG2uK/zMI3j/EzzL2385HmeC4/YL4znfxpH/Dn5ngjrgzyP1DjWTxmf5Hmoxmv8EeMjz2M1XsdP6V/LP755vb9S1jfklxrH+bUWn7X+y/P01z/5PcJhv87jNY7z+zOU/HVf0OLvN2/r77d/1/VK4fX8/+Z9OMcXeR+iccQfzb4QvzVe8xelftz/aBz3R9HwOQ6vL3/uoxSO+yufaHxbfj3eh2kc8Rv5ZVTu4zSO+7u/8WG8D9R4Xb95fN9tRfy6j9R4vb9j+8b8yPtQjdf7U16f5H1r1Qqv8Yvzi6jcB2sc46fZB/a/Gj8Eb+ed4v5b4S3/kfFhvH/XeI0f6f7NT7Bl/3oPoHG8H/jrX+P7A423+E/1w77lewiNI3/T5rfeXyoc42dspnuXK8Wv9yAax/sRbX6qVnh9v8I8pf3zPYzG8X4G+6OovMfROOxX6x/e/6jjw9xbOhbybE847zvwHsaGQvXxeijKHz785vcNiP6r/BUC7fcut3+V9+Gm84Bru77KB5wzWeKyvNb+kJyfcbPixrkZP43bFnw6vnHB3/abGd/Sts/4nbY45WYLM54W/Ek2L+xnzt8MZ9r/BU/1fuubuwXfeH8zGb9t0b59bj9z/tT7ocDnk/QetbX/pPdGD/nTlY78Vf6P/y3Ko368T9V4e3/5zdOax2n/Vry+f1Xb72c8m9vNuF9wl+5t+vPTbWfcpGva/pPfd07qN9P+rbi5nnn/5/xkrfG44BbvpW/YI+WDlq/fkE9J+12V79r3OxfSeFxxvv/QuF1w5IcaPxYc+xONY39ymEDvkvZI532Gxqfk/Lmersq39SnbGY8LHhI949a4WfCSUpnxvOKGHEDjnrkcj5zirzz283807sNSOGf8WHETjhkPC47zB43j/EHjOL/XOM7vtzdjowMB73r7242jc9Y7npx/0v5BlN98zL39yfIyn5PlZX2tf95+/bx23z3nKXkz427BbXLPjF/JlSnn8dP4rvC2vn33r9n/nGv9b+vbnGv9r+8BlP639wLUP2fiz/98DsN9p2e/lPYiy2vfvwZ0znhJ4ZjxvODW0DWjxi/jy4yfyU/7Hxd8TyHP+Lbib3yY8duEfTo/yV/T8U/+nM+Pn47vwTw8xBPnqybTfjVc1/0VL1blm//z7/so3K24ofxP4wW/L6Twh3//R+PHgmf+/SCNe+bwn3el4/sMGh+sh1p81spX++X1X+NxwZEfaNwsOPIDjecV5/Vf48gP5HjI85LdBLq/DvR7em1/R79/Jvdbsrz2/WmOc8aPRO3ReFjzPG3/ipsjLdpP43nz+CZnh/hnnP+K73/KK99H/l7jITk342bBVz8/rzi/L9K4Zw7/va5jeC/6JJp/LZ6hvPb9wd+rHPas8LDg0Xy3p50/zvlrX2nGz4Tfk6V3fFgf8PucWB/cTRz2I8vfnu5lEN9keZzb5p3yT1n+z3miKK+1D/mLxpGfaBz5icbzgiM/0TjyE40jP9F4XHDkJxrfVpzzE40jP1HHn/MTdfw5P9HnB/Zl6F6BzxuKIZ3s48m++Fyi2tdY/q99jeVlfe3+8+H2ffNtxc1zzPhtnjzjeF+g8bjguF/UeH0fIcZL3uf/A9MMp3w=###4160:XlxV32DM 3fff 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###4028:XlxV32DM 3fff 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###4492:XlxV32DM 3fff 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###4012:XlxV32DM 3fff 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###4296:XlxV32DM 3fff 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###4476:XlxV32DM 3fff 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###3700:XlxV32DM 3fff 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###4276:XlxV32DM 3fff 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###4408:XlxV32DM 3fff 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###4084:XlxV32DM 3fff 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###3800:XlxV32DM 3fff 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###4868:XlxV32DM 3fff 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###4648:XlxV32DM 3fff 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###4620:XlxV32DM 3fff 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###4656:XlxV32DM 3fff 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###4748:XlxV32DM 3fff 1274eNqNW0m25CoO3RKNMeLlOmoBxsDwz2qU5++9CEvXgRUQr14O8tyQACHU0fgwr78Qztb/Km3bhcmbF96NP2b0/ru96CGU1//FHPvFRvv+wtnEC7dS4wsH8ueFX//6XzTevfBJ5/HCvpxXu2ZKuOhUL74a9mNs7yn6F07GSfsaxvZB+t/pvPg2KjTSo6nX75ZkvHKeY/9Bxkf/seQ20mMf8YUP4xvPL9vn/PIlb+/p0pvWT6b96r9QnLYP0h7jr9p3Sa51sMC21BdOgg/D+KBw6d/ZvDN/uOQnkwPzM73YYka6N4xX7U/DOJYWnvpp9dK7ceEbfSebvtFtH+kb3ZM5v9H75C/9b9T8c/2Z3q3i0vO///5j7R7Tj/lJ+99/ckk/jf/qn//mQj/uz39yiT/Wh+2v99745I9udJk7tdciHKmy7ZKNl9Za3q4fyHmm58SL6C6lHdFXFpadaLPsVPQbpu+4O+01WaL9km/3lK9FM/vFZ0uoM5xNYKWUvc1w11Bgo9mv+bWYPTspz6M0xq0bxwxj/iftNGsPuqWtzPSn+Vftd7MdbLQ7y+/9Nf9ko7ucCvyyfntg73apOgk+5SumcgULtE+hcjCgYr7h3M7K8295ho9UNp6PueTtP0owO/l32yI7fakzrOezml8S+Vc4Gw66h8kX3jLr73M9WD4ybO/aHzSOlsfpHppmGON347icmFrIPP/K62g4CEIejfV6r9Z/M/6yr2ZZ/7EHsVH/yXDSWOGNTtaTWp8ubmY5OVl8YD2eCeynNl/6rDmwH/fxvuEe1MsMO2J/NrlkDnqXu7a9nGXEpvB4GmeRJwbGXuJDV/PX/oDRvgTGev1OHyRo0xVPNNb6yI2LDrQ3ReKMKfayR4WrFBOQ9xNLXBas51cS65OIw88KO+Kkp7EX/fsmRVDZT15/lk+P5yLXVE7mv9Fx/b9nyjPc++W4ZdIUB5s3Tubpiq8hcr/Q326T43XazikmYj+2jucl8hExHfKceZN4lthPpf9Wkqwj82uM9tVze99YPrSPLV16Sgu8m8OxXXE81Rjz7yPQ6K9d4jAWlcDan1H0Aet4gaITGPGqmip5/Yl1PEPRCYz+HblL70X6B3bpjII3tvMn/sz3WxixrjdQlALreA/57vh/x796jvoDBr0qOrDOl9APsM7Hd1G/yOcoiu/8LuNbyc9YP2BdT2D+N1b56y4apShc0ZsUlSv6JkXpiu6kqF3RoxTFK3qQohr23uOL6IWxl/lviXGlJz1KvaD9BfTd1GNsb+lJPwzndbQ/jfXcjnGf3z7GL/j73f8intztacuzeFQNx8HS7X8cH/EO7RulYxZvsSnaqbRZvMamxtFBs3xx9kDF8pdpvkL7JPlA50PQM51tlm9BD8SbMp3PIb8j3tSVxPN2PRHy/zxvYEOcX1H/Y9NoZdOo6zv41yGbzlX7KptW3f72L9n0um4ZIx2b5iyb5hi5HsqG4xn8dzU+DhV2ioE3zdj875Hjnzt4nGRm+x/EV7Rfyb9TYHllf4H8F96b+sTx4TQjHfEZm+VV+8P4+o2O/eCKvpEv38a3cmij6dD/Sd6xHOfVD0XZrt7xk9dP07E+WfZzen0xfs8vbdQf6hvot9up/UY/Bev6CeuXuyVyXTJf3+6/8APen+WzjPknS35ucqoD+0P+Q/we9tdlPPQC1uMjfxXD8WPlP5sh+82+2+vU7cv8Ujd0Xg+ur+79mTkijyPro+pL0CHfEbn+A/2OH6K/FR3j6/MAyA+s/Rv2RVKXt8D2g/WFfdZen83osC8v+yHYN+R727fY04ouh5JdINaD2XfOghzfjdR31DiMnhQlb3nRb+S4c7Kdp3AaqbtI1kXqGe4H64f6DPpzhvN7ybsb8zvkW61fMvs2ox+gy/mKXj8yKfG5j8T/xfoiPq7820v9tYrfAfXbIn6g/ar/ve9cZvaN+Xna6jc64vdq/li/InEI7d/zD/mbfI68nfkn/L92P/9Gh/5X/r2J/6zaQ/4YOY69/YsPvVFf6vh31/dUpV6exw+c76zoyM8r/SG/r+iGNjvW7zjveh/6mzzzH8RfxM+Vf6I+MY3nATrqA/jHMv/Sdoz5F/YT7vqG+1vmL/jvov4pfX/2zX6hv5X+4V8rep9Pnukf9g//0vVTJcd0onN2/of5Yf+hzwfe9sH1zYqO+gT+CTrkQ/sVHfXPEZIbz3/e85NLC0W/7ZMYr+aH9Vnlr9/osO9VfYH1XdnH2z+e9wm41ALW+oX9kNmm+gf9rv+UfqGfFR36RX36cZ5/12+CF/U5Ce4zYXkM6Kb9P/Tuf/uMjvy9G46Tq/yL/LydLB/yN+x/w/5xEX9w3rbK/33/ef1QYX/3+eFzv6bPz7Q/B4qcr3u5NDtv6/Zw2VdtTNf83VrknM+dM37dn7aXFf3Opy1zfgdd2bOT+w/Io/m1PL/x63puRb/jTfe0ka79XY+n+TXW8X5Fx/j6fFPXI3q9NP+q/ap/He97eZnG83ddTxBFOad1ief75Nfr8yu/3q+dfOlqS5mep2n9a36Ndb0c8pmlnuHzSxWPdf+aX2Ndr+r7C71f0/rQ/Pr+QseTU+Q8mjtm/Fr/mv84DWPoV8XD3/g11vuhI1u5l6hW5vmI5x/9K36NdT1WcqFR/7pe/bAPxa+xzrcuuzKOr+tFLb/mD7nuT/t61tuf9qX4PfcHrPMFxkd7zR/kXrj65/rf+ghNznWcm/Hr+x9dDyH+YP6a/6M/xX/EXEZ71/t16GfFr/vX/M2z/UAfOl9rfWh+3I/1/FvG82Kcl4Me5ZGQ5ge2dKQZf5T7p92nNOOvJXK+P5mu+SF/xzTj79GJz9vOfcpvbZN9nQsz/p6dHnTNr+erz/tBP4nP8/V5v73pvI6aX/ev+T/kVffpGuv3FgR7Kc/7vvt+6hf+VXvcX2l9aX/TdF1vFUq8LwjVzerB3SbxtyK/P/l1/aX5Gx38DkDi9+d9z/N+VPO/5yv6Vfelq/cP4N85fZgc+H5Gv2fS/qn5cf+X5TxB34dmw/WEL66OdPDr9x2aH/enRu5L9X0qtdOO71k++FU9q/m1fen74OX7Luxf7/tnPs7X95mwz1hKnvKr9z2aXx61HT/mxzp+1Obz4zmb3/xfH7zzR4888tAOZ02N714Tx3yNuwxc44RYZ9hJjDzLHHdfkLuSOb5tgcXqdC8PE0neAvAZRN9U+RneLPZyzO9xZpFiHelnXwyOMbynd5n3mId3speUWkZhyNNjevqGIa/GWp6VfBHyEfvO7ln/pw2cw02UM6EnHbYGeq9QOQZL7L0fWAq+3xII//2WQLDu772XjnGUB/y9VvYj1vKC/m7v5cyMtnEvDQx6VPR407n/ri8rZ7huxKCfig6s9X3fJQrW9gL6qeiwt/dZAsl6GnljSXW8awDW9o6zXmC0f0Wh512FvB2S9fFS2+KsAjiHJm83mrxlnNvD/TZR8a/sC+tb+Qr7dae+z+xR3Mt4ufv54Ff+p/ljMWJvZpvxa/k/+Bf+o+VPBvbytEctr+ZftY93ez6TfdOf9qrl0/yr9ueivbYHHd+1PWZ5g3lEPqPS/Djz7aWpn/Hr+Wv+1fiwX8lVueeqJqmqPFKVaz1VbT76A67Wm7rR9bK4YjG8DRAPNzHguoJdUeM9O5mSPA9QeDWexvti/K3xeGRSmeHXceuluka7PI/hY7YYGBteem/52GOFKUU+Xsis6lOWFqruMUueSz7ly9HheLxNsRzXlBTrDOvxVuMfMn6v1/i5zsku+rFe72dYlyvbZOQ6TUKWwro/jbHtr8bbGf7Qt8JwpWrkWYiSz0GeO7RqLNsEpFopg2N4umqRayO9nnB10LW9INQYCTV9lybfkDxDBbCe3x2K5Fro/ayQrzHf3wIxBv2Ua2jQgbW+UCoA6/HuVC34U97gnvN5zhelgFGlAPSFUgNY6xvyvelPf0GqBdb2DPpx05/xCKVCVqXCrujA2l7ezwIZa/vQ9qTjg7YHzL8t1hPblqro4Nf2s+I3coyo7Wk1PvglFZw9FXhOBdE8P8Jxf731RxW9vPZlvO3CNz38PFP6Ke9+fHvuftzrU57j/hbI8LUz/LdbVZxjlE7gf+J3ac1Y5KhvOTb7TY6m5KjG1Dlucv3xlAMY/QGLHG3Qq/umVyvPlIG9YO6HTO9nk/n4Rz/Zv/qx7xk54hvZKivV7dTNcLZyQUDl2mRpXAPj+8Ja4d03PECbYhQtPvMBhMbn627xJVdjrOVHUezEEjQeVuBkj3r21/MNF53O1hnuFsMRz/GBku5vWNFxJexgWeGbZW1qHk0sSWOMe8pDOI3fxfZDDjdY1vbNsnbYw+3B/GBF+vHDfOK3+XT1ptEzuiq2OWb5NxlH47d+HnJsgxzpmxxOydGX9ZxjHjebpxzA6A9Y5AiDXvdvet1EjlvPgqWffZhP/jafQPZ42LfIrzHkPwjzf2L0ByxyxEGO8k2OqOQIsp4aY9yu6WOGB3sZMgPRoFf6ptckctyRkHhzI/28vholmc8zw9jX+VrfTfijIWQUOsYioqKIaEbOHDnJ9+pRkmuQbzqeWPe36j+hf7ypTnSMRUjfBPGbtQWdQJc3J6+d9Yx/3Z7fYq/wJpswuEoiZ2f6+NCP4fmafOwzrOW9Pxi+v315jveJUYThXO6J3ybqwnQ96Yk/1oOeWOvjPj8yVGfrhaIRWK/X/dZGsJjq8WN/3Pb3slH7h3/Ll/n+D6lmjFs=###4876:XlxV32DM 3fff 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###4672:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###4816:XlxV32DM 3fff 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###4820:XlxV32DM 3fff 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###4720:XlxV32DM 3fff 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###4500:XlxV32DM 3fff 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###4556:XlxV32DM 3fff 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###4320:XlxV32DM 3fff 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###4548:XlxV32DM 3fff 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###4072:XlxV32DM 3fff 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###4356:XlxV32DM 3fff 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###4632:XlxV32DM 3fff 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###4932:XlxV32DM 3fff 132ceNqFW0va7CYO3RIY87q9jl6AMXiYWY/yZe9NWefYWIUrmVTOFQaht2T/qwnh6P/5JL+78fuJq2+f3yMF8/lZze4+v8XF9Pld8h6FHtfPT3XlpCfsp9dvZl8+v/bwx2x9tvGk17SVEa9py+fzKZz8hLK12fqahO/tSNtsvb6fXv92fsb5Rwx4rtaRvpu6C59C3/35+Nd6zc+/ref+1jyf30pwI92kkGf60uv/+ecva7OLf8yf+vdfpeY/dXX/+V+p6c/yn/+WGv8s3v3tnItuW6C7WkRHi6ntFw5eZOCNyErjkurJU8h5irdcxYZM3uS5cv7GlOTuuZzrjElNzn/yF8pu5c77FNNWXaqiM8japtqeshRZR8hujXubrX97vt/r/PcFtu1MiWLj8VRyi2WZYe/EJ8ivxpofjXl+SufxX/LT/HzhL30/MeUfUxEfqmKz1uSTvlaRd0vJzDDl3xArNH96P02PpsDG83m+tcELn7mI3J9Y6zuaPY1Y69ul6Eas5Uk6MeWx4R/IL7G2V9KJyT/tnfwTa3vi+Zd9KXnyfsTf/lQf+2t93vIW7EywkHee8XvUfJ4fUnaz9Vpfev2bf1N+b+dTv4hlqceyVWKZNev+CGZh+dsFt1UjksqIopQco7bGXE98ScooSQEXLx5ywGP0+gzLDkb+R6/X/On1uGnuNz0QtfPyjNpHj9rrJ2pzL4Oz+xlBomxpM1wS/j22OMMO0ver6XsaozHvWpJJH/x1fsJ5FvsqTNkH/HuvBMRbcpKM7hhl8z7DBlaSbM4zTKvZYbWavytKmHKu86hMdmQnPp/hdW/4ytDI5FsqZYzy9ZB/11if93Z+gS1mvxvBEkWcx713kZfGWp7cbzXOjFGHWJ9HOrG+L+nX/ZU90FeItb4ZtYiX3JYxSlbzxKQzSpBOrPVN/nYVVT0qpoqoSkz+d+XrxNx/S9mMFSUxo67BuYy6xNreaI/E2n40P9p+9fquJ9FrDG7Gj7YfvV7vr9dT/l2d+0xfG/bzLpXZer3fv63X+rbw7+pdmNqzkfjQ/Jpm6/cq9AC96fWk76ha9HrtT3o97eswYZ3Zm95Pr3/zV9oXcsHWc4Fj1nPbIxmsyycXbLTMbIrUPTZIvWlQ9yncsuTnbZ1jWmKl5SlMTyEGp6Vz6pG19vhgdOtJyzpzJ62NpRyMOia0CgrfCftZyuyqlCHW6+8EL5j0mqQUZlAibiiBWRrp8+iEvU21s/X6fno9WxW2uZof/bxeD1Hvt1Gs/ilqu3xEvXVbkKyQxCYOCMqiB8BGddwoPTbyfSPfjQsPenC4xSI3Mc3OsGepc5gmaeGJeeOeJMovHF7wnUYE4yJtcBO7rjM3OXodIyfJjVa2c15E9Y2F8zc8uEWc4eN2k1Hkx8DpEteZzKNp0gDAoaOX8nA1UkppTM5alZCr8WFhpSzVFL44T+omSd0kjTdZzRia0s+beNNQ8FZJzqalGT7yXuUGOFlhc92suBkm5wskpzFvQoyb2PsmNT4Lbrd8piQbq4eWZMMDMTMiZmp8HZwUI8oficHIMvqj++XYEQ8S++dGbtwo/NqoonsjDs+N1r5RkY2aeW60LOcEqbtWQGY5/I6OTtRiYg0zfDt1db/wkqodw2JlLWPFLHqYDDOc0yFaKjnNcLQHOmpMHBTeUztlYXxsM8xaJSax0njVOnJ+QwfskMY0ZsfrvKQFja/aNuU2u/89jRV+e4+B2lbSBHFJz76Tz2fWYofUHhpftRhqM32/W1+5zvbX6zXW/NI72Ccz6RDr8+4kkOtMH+wViN/sifhLnuaJtTyuXsSoXgT6uqbPwFevlVSvlZ5zh4XR5Y4O+Ze8SC+oJRcv4Vaf50rEfrXN1hufOTELs/XanvR67f9an5p/vR6xxo8JxbdZEq/XbGwTSfoIS5A5u8YOM+deB7kZJqcOUVBjaoIYnIbO6YaEsdVneP0Uu8tnGkUr8D05inezDk9+htkhNHQAwUjxF7NIe7WCd8hA46uUMuiULOfyeR/n8KuVKKOxPk9j7r8aSWHBZsr6GLXKdxwaX7KF1dJKY0pu9Bpiel1WXpdVx9gUnVifd+lSnV9QoPmrU8ScXN2XUYdY6+uuEbKZ6ePuuDkhkAlQg5dofvjOykW891Dy1vb1b+u1PWis5f1Gv+StztP3ydVL9DSx/dI3+dfr4Wufd1gJFUjLT18zZ2PZfc3ARvMufn/NmM2Gvkd44juUezojGeB+R7Dtoy6Jrxk8MiV1Saz3u6c9gocZ/MMWiW9b2uL4DoBY34fPE5PfCn7f7qPp3J8ROqnGl/xp+er1en+9/k3+lzyxX+M0VK3/Ok+th62kx7SkzCr5a05ptjTe0FlpZDWmJFJ6ZoikNEkMTj4z/IwMUZ6M2P3MEOkeh7C5Zp10N3pP3K+0jpFbY9bZ/pAGUWNK3aJZz6gDtiq1f8bMKuNN7ht2CXWkwqxja0WG09hLhCiwFo0ZIRqw5s9hhndY9hlP3ArfGUi9rvFl9WhHtfw9GmbWsV/YSUYsBrNkhe+MEcNsf75TMWvZZ/hLHxqDf4f2Ve/P85OaIZPO8VpF9aPxZdvmGZWu86g3YK0v1rWX/rS8GdWAtbzuDBvDr/skNbhIqloj1vbO/Ynhq58Zaw38TEJ/JbF+Jhn5M81Y0UamumGO0jJeZO8z7IysDwfbviduycZzRhaJl/zBh5F2dLWCF+BuLeerle6O53PEobvn+coux+3za9bekQ90vV5ji+d3663M2ERNF2bBifs7L21iAF+5RqjzwLTmSCP2RnCvi3CeyIe4YKSu8WplZrgBk1+Hj4uIC8JcNNty3r9EjNZFDsEkaQej8GvTsspsUfgzybnzntn7Uw6rPfFq11X0YfMv+pqs8JGXQ/g55MOTXpp8cEtiF6Es8hzOp357HHe/8GbcqYcuxIhpWBjlW64PR0T90Ucz3p/6fMO92FjG56330gbCnvy+rjNM+bfOqbzysB72lUd8pQd/xEe6AGZYOGLL4yvRTbrnzytDFLzLuV9zkk6OtCwi9xxneE/uxGuUBmeB/XpvV7F/Oa99WJ5gnp+p/yT2xbnqmz1q/LGYX/oPuJfH/hmNYXcI4d/LKzuNuX/PrjIPrq7J+T6P9nnhtEp4iOGcnX+tf3m+dc7O3y4+efUP/ntjccrbrWmGl7g18DfFK+6bbHAzzPttKay/cE87Ufh09ZR2NlN/fvPfDfptsJdeEkvDltZzvy5dM8Mxracc9yrnVYN4ju86mhH7L1XGk2/YY3pdcb538vyO8zg2zcgfOxp27U8N5zM/vcVbYh3P6a8c22h/jhX2axpGx+q+Sn5aHz1OlPH+exXM/XseOu0joewNsIdo+REl7cvjw7itip+GY4a1P73lJ4s8Vupyxtneugh/1uKdEz55ycJvgP0zPy7AzUj8qXaNo393v2u/cDSCC+S3FBNH+9yTYfx5xGfG794/y8s+J/rher3f6/6432bE3j5f64736/16/RX/NnxqxPgdriZS4ueCTx5e86+Yh9H1j9bHq37wLpD+GYvkXfIfklt/0S3oX/Wdus/b/a63v5Av99fnfWFlTz5TfxzwiTx2fOj3hqsRbMu6i39i/PxWzym8Jim3E9qkrbY03o/1i44PK/JWjSjblfx0vfJWvxxJ8uOP8+s0fx8NA75livl8TUtAnfuIL9qeN+gvRAv/Fj15I/VdsAvyH77dimK3Ff6mMZ8Pp4t95P6sT8lPqNHMMPmrqOdygZ9ify0PjbW/vflfQd2k6xPG90Q5qPpL2+ub/Xq2dyp+MZ73Hks+bE2Qn5f4Sex8WGZY1zP6ecq/r7M/6WaF3p7++8aPfr7X68dUfmo/HZ+1vb3FXwf+WX+XXeqrntgq+oM2w3q/t/0b+Nf5i/XEUn2Y4atfQ17XmP1bBD+6P5nk8wdd20u0Ur+1Xb4a+KonoA+LfoZ4QXzLRfioBq95DfSDTyk1Nln6Lr4W1nj3sEeMuXR9xHybi8QzjXkfuwtm/N6M9LvEvXArz3i/PeYDzfCPYp71o5aXtqceCN2IdT+b0upHTHnSbrtBpxFrfyOdWPsL6cS6nl9M3kZ8zyOk3yT/xDrepLRsI776M9hHd8M84q9+Jz2x7qdIJ9b9GPm/MOItR8zdytuI9bxiw5yGmPf/FHrj/YmvfG6cG+nE9MfeJ4u/pyfm/ew1xnti0oOiE+t5DOVLrPth0ol5/4IXRLz/hVU+5xddxLpeIP2qH1S9QfkTs54s6Ad74qsjvvp1E49xXkes66lL/ik+6p3cPXI8n1jXk96wzyxhNm+hfV1Y1dO9Tnlg6j+gXv+M1UbMF3w2yTfr/HqLWNfz5I/4ls9RRv8j1vMmA/0S636C9yPW/UhIfhmx7mdon1d/c81zqhvtg/ia18Hfe98QR0z74CfQIdl9xHf+Qd+O+Eas53XUD7Ge99G+iHW/R/kRk7+Gz6hov8TcPxnpl6gfYvKfk9T5vD+x7lf5PLHulykfYt1v8/72a76Nz8Cgf2Keb5FPeL698hf6I+QT0onJX29EtlE+xKRvmLOQTnzH5w12yfgsmP518yf+dfMn9Js/od/8Pesxxg9iPa9ift6u+PScV5NOTP5Tsnnkn/jyT/Q7pBPrevnK78AXfyk86gdi0r0JcaQT3/KVeHbLV/Bg/2akE1O+JvEVrTlGTDo/sCD9+gAE+x/dcsf9iUnPvR4Z6cSks/+mfxKT3pL8CQDpxNR/Ts2M+YP4zu9Ib1d+r4/80/CHjYyPxNf++BMf0omv+hJ/FE3/Ib7jx7aNdOLrfRU+82L8Itb1K+nEd/20qfoJ9S3oPI90YtJ5HusL4mt/nEc6MeWz4bM9ypeYdG9yHenEd32Gr/chX+Jrf/w1AunEl/8iHl3+C3z7P+Ysl/8feaRzvsn8R7za/wNQwSmF###4860:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 12a4eNqNW0t67qAN3RIYY8TtOroAMHjYWUf9uveSXzo89OPcZpKcSGAs9MY4Mu3nNu74+e1jun5+O7Kf/yeTwoxv6z50Mqc1nz8czfi05/mZh67POKLTzxjzW3Oap/1gfuA2S/3BmXL4wUTmmTHGJ3OFeTww6I+5zh9shA58W8/rpPJ86OTdjEGPVO4ffJE/ZnzaI/J7pcTrO9KMQS+UPuuptOISI838h4nLeNDBTyYu47E+T7HM6wcGPVFM8/qBsT+Jnsjr5/0BBv2h5+L1rxjvV+k+5/cHBt3RfczvD4z1Bar3vH5g0G+qcV4/MPRv7B/r39g/po/9c2HGoBcTznk8MOiHCcc8Hhjrw/ti/cCg432x/vH+Nq/rt2VdP9PH+u09Y+h3MD7N+g8M+m18mPUfGPaF58G+gEHH8yqtGOsb+sfrH/rH9KF/vH7gIz4fPmfo87xgnjpj0MnQ53nFrBjybRZzzPIH7vZhPq/b5Q8M/bZUjln/gUG/+HFd/4GhH+P5rD/j+Uwfz2f9AYZ84M8gP2DQDWU/yw942DfbK94fGHTYK94fGPLFeiF/YNCxXshfyw/7Pfw74+FfeL8hP+DuX1ukmNcPDP/R7O2a/Qtwt39zJt4Xli8w/Kcltlf4T2Cs7yZPbLcr7vKhHBf5CE7xA59seL+eZj4zxvgo8RTjo4qv7cXsbL/AWP/dFs5xldcPjOdHsQcvzwcGvSp67fbD+nWYI7Besf4Bw/6rOR6Wz4ohv0ocTyE/YOyPM5wvwD6AR/y73bw/wNj/k9isET+AMf7HZHjdHD+Bx/7YyHEf+2MX+TWNSDMduI+n41rGCwa9xaMy7z/w8I/mnuUL3OOjyAP6DQz9qYbjCfQHGPM3dYnz/MBYn6Wa5/0HHvINzxyfgTH+oJrm8cCwX9gj7BcY60+G4znWDwx6oZUO3P1Hi1ezfQKP/NPQbP/AGH+IPsA/AY/8AvvD6wfu/p2etPh3waA/BvmJCzPu8iH4q1VegQ5+Xkvodvsx6dfib7B/PT8Qfdb6oPUH+DT3mr8Ze+30sUTrxZ7SPD/010mcuSzrhbaHjs1qL7Afbb/Al+H5gZFPaX/R/OhnnmytnfcL60/BVZ7eL/ks/FMg0Rvv8s7feUocLz35pZ4Q/6z9O/BjnNQRvG/R5mMXL8BvDceTr3hDvP7bez/rM+KTc5GfY65rlg/i2yN+EuN1vPR0fP6fXaBdvHXmFLcS4y6eX8T040l2lw8E+wTebzK7fOIsj9RFKx35h5P57/z4XT7T/MHH37VJyjYfiqLXTfN2+VTNrN+R8rXLx54i8pP16XyuesSlld7zqeJEn4rZ5ZPBMv1RdOSfTznz+vw1n62Z6S2em10+fKCOK5R3+XTz5yynEN0uH3+TX8/nPMvvNHFbD2TDeUpLG/OungDdKTrqj8Rh+KdfcO3qGXJCF/vW9dBpWL8vX/KunnqslXhQ6rYeiyf7IdkfXc9Vw/p3+5t29eCb/qB+fNOfXo9Gx88z8PdrPZtI8spQ8rYelvWP8Ws9ncR+Uqi0q8cpcnwqXT/X+j1Ypj+KjvfX9qv7Cc19Fdbf59n1I6D/R9cv3c9Y/Y/uh1jP/tGL/up+Sks3pd7I164fc4p/jE+69v0cyeOfVHf9oFPW55+Sd/0kyH/s39qPetN/9K/e9B/9L9hXCTlv+2kyvsWrOo8f/TfkW9e57edJut3s7Nz1C3u87fkFY8TnNzrylwL9zKdb8rXmMX6jW+L+45U5vrc4auf4fhOPT4Htv1lvXv0b+l+8n9awngJj/PWkws+jY84XfGE5gG4kP/ji94HnP82ev/cLeB81v34e5G8ocX0h9kWG86Oa2d80vT538/+Nf+TD7vyN7oWO9Z3e87iH7e1o+rx7X81PkofduXzsKUepO+mitZ+C+njl7/K6JaG2LJdD4oOeT/PfMm/j4vU41qeHWF/18zV/Er97ZBPWevH/40f8cv5e4pOT/MBm1uteLyt+LY+/8Y/6gefPsp76cP6LfDb7uMinpY9hx6/lq/n1fLpfkchyvzYcdq4/itQPej80v95Pnc9/7Z+qJ7X8LpFXLtlJ/L7nvoiuN/X8X/zK3ujhfNDCnsS/lcct9SqJPwJ/rxMUv55P87+tH3XRm303+W/9E0k91qR+7LDOZ4LsRy7u2sUjba9/49fx8My8f5epdheP9fo0v85/dT6g9UHzQ9+Mv+0u33AuVfZ7jDV/e1/uB4t9fPEr/db8l8x3R16fzof0fJq/FpZHkfzMSz4YZP++9Psv/Dpf/dofla9fhtdTQzC7fEjXv5o/tAT2I5940o5f65Pm1+vV+Vru+Qfrg85XdX6o+bV8dD6t83ddj+jn6XoR9aXv86/1Zsu7Asub16fr4bY+OW8rdstvnazPoD+31ONf+qH49fp1v6FKXnAX9GfWfMzAv0k+qPm1f9P8b/lTppS38V7lk/p5wz/u19PrP/FDb3Scn4Puy8V6ofo5b+8P/uYFA/en8rXrN4EOfdD8Oj7rftYpeXLw8l69f8X531f+rfibH5ZzX9arInrqnmObH2l+5AOX+GV9nvGWP4D/Tf5R4uMjcS74Y8n/cf7gxN89ol+aX+u/5re9f2rP3XmF1j/N/zYe5xdanrofe8DfFh6v+72QUwszcdev1vFO8xfxF+1t1H5xfuQ8y+MQf6D5v+Sn+KvBvHk5r76I+9tf/krxv/mXKvuv+7HAB2Xaje/6I/5pnA84N2N8X/OWX4Ff2/PwH9fSP7eS74x+ued4YYOcC/m1Pyz8Ot598Sv9Gec1Ehfgr6SeAE6G+0NJzilieZbzgCJ9x2q4D9Sy8XPL/zL+MHz+gnjctCTsznO0/9f8Ov/W/QjIK/9o7IQLMdb17Bu/xo0/zvqO8xN9nqLzgy9+5U/0ec7reYz48bfzm/IXOs7/dH0x+jfsB5BfncXXWf9cS3D5QUnOQ6GPK3/1TC8U3I5f57+a/7///Zdtun3/MX/y8Z9/5RL/NNX9x79zoT/HP/6ZS/jThp7/cadrEcml0wY+9z390g87Zb2oLw5zLt/fVMP2pul6vrf5W30j9bH4Ex9o7ecGrr8jj49nKLM8pZx+QuS8oJ4XxyHynC+W69nhW/rXZ7nyFvd68xJ/y/Tm4Ovcr7Ry3vBGL3I+4XpfbT2P8Ibqb/Il2e83uvsLvcp5AfLT/Kz9+h+Jz/788HXxZ1bOB7q/l34/7C1JP7xtiHznIfWf1CMhxy0+AtdfjtIWIz9NPl07jP1/DPejzyLxGfmbrO+n4pj7wV76A6jzW1ytO4x4ijrooch908jljtZH6O9Z0I9HPsyOA/wt7ed+q+hLKns8+sUcb7H+K2Q3x3vUr2+YJN9FffvEk+thw/47tfi9wyT71fLse4fHedO51e8WVbmv5Vk+Gvd8wvB5MvK5I7L9gH6JfaPfEH1e+tVF9hvnSUmw5n8bT9AXOa88TFnOcwcWfZATmy7vbh8cn4b94Ly1lN/8m5fz5jf7y1TNml8ojO8T5TzU03re5ajW3+hV4uMrXb5X+WV8nP2f8+t51C36D5zNisnI95IYX/D+OO+S80plf0P/LulPJf4+2l15phvpv2r/qOd7mz9Jv+Vt/qtl2LO+4PwZGP4f9tuqs0fOIblf7HEus+KpX06S1yz6hXryDWfP+wL91Rjzt3zV8Xm9obl/kqV+PFyoOwz9DvK+zb7Yf8Dexf8+hvORN3oSun4+7OuQ+cmhLuX8LuOcSOo/jXH+XNB/kf4O9Be40koH1vul45/2NxoP+8X3rHtspZ6y2V6z/9b+Xuc3/XsYya+vB33wJ+/kpfGVez/c7nBFn8l6v8Nf+dbL+rKcl2r5j3qY1/vm/5s+uF/pYl/QH/TTtD5prOcL8l7NO/g1v3vyb/SMvs4LvXn77f6Ofg3H5zf6LfqC+idGzn+++FX+AXsjG+k3DHvUuEjeY3KoOwz9Rf79Fj+DfO/zlv9Gir/mx1G+x3mjn/h+Xu2/5n8bn4gXCP+G/HTIX77neaGHv9Avivdu/970FVjP9za/lfnf8hNL1e7ykzd+jYOR+xi9X12W+P5I/PiK35r/ZXzq4/f5UVLfu+v8x6v6ROdP4Nf+UdvrW33TvxeV/P066/59FdbP03h8z8j+4bV+oXT/Ru/ncy/0Vk/R9v00/8v4iu995P2GfKV+7Pq1p1+iP7/Q6290q75PQ7zA996X+n7lxnd9Qgfu9mLwPTPTgcf3Q+t9P+BRT5/LfYXa72us9RbuEwGDXtR9vqLu81nJZ8d9wCvu8t1x32mlI98FHXia38/3oYB1Pgs68PT9nJm/d797fbLqQ7+PJFjrA+jAoz5Y6VnRoQ+4zzP0Y9Un0C9FRz0GOrC2N9xH6PYndK/oXtHRb+jjBfd+BTHGfQ1g0E+ZD3Tg0U9d70sCT/2Qhf4oOs6/cd9Efx+YFD0pOvotoANP66eZDqzrwaE/az14UFz2H1jXi6D3+rH321Z6UXTEV+y//r4yKHpQ9BZv86J/grU/H/epHt0vW+5zAXf9kn5c1y/But+G+ypJ3SdFPT/uY9ay6weADjzuo633UYF1P6DPr+hn/z6C5XOafb8A9ErrfREn/fVxX7SmXT8E91F0f2T4H9xXqUs/bvgfR6v/WfudOH8b/mXtt4Ce1H3a4V9cWPo/qt+D5/uv/Gal6/znofW+LrDul4/7wMHv+r3jvujt1+/rV7rvdNwPgPxxXxPyR78L8mf6kL/Eb7Pe9x3yl/kVPSt6k2dd74Ou+UFW94Wzuq879qeP5z78/wCXwEgg###4952:XlxV32DM 3fff 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###5020:XlxV32DM 3fff 1384eNqFm1mW3DoOBbdEzeByqGn/S+isBAIg+MrV/vEJX0spcQAxaS1tLp8/ctT6fv5ste0/vEm9/+KpfP/728p0/PB1z8/P34u8X0Gm5fv/LtOX+1l+/n1/i3z/Xd7zN16n8r3PXfTfdynt5+/zOJdeP8r5/Z29vMf3uTbVx///r+tnu/4spf7we57p95/PFd/3qN/LyyPL07//XdbpL/2Qtejz6fjOx3R9x9H0s0zf91vv5ft7q43TOq1rr8/FfqDc33/fpvp+Sa72G++b/vdDzv039t+Xptcv09aP/zVNp76fzuPn3/efv0uV9vP3XN/vOriLLH/pe/kO3+fv9Xu/q5bl+/e0Tfpeb/tL/8yrqL7o29fj0ufTdVVEvuN/iI7fuV23zp+O313u5S99kXvS9fHFsh73+dv/fyd9vs/vPfr7+nyTyPx/9Okv/RIp/fqbPxPUj1/7/PNfehXR2786f6fdf/z//7r+Fbl7vZ5t6uf/s7+/4y2vrp/1s3L69b2UTedj2N9cfxX9mXF8mb+p3Pqex7zo+rxsf85V5/+abby+79fWuf22Xw7R6+et7f31b2k2n7/rm7T6p16a/KUX069b53crd5rfAv9Df+W++vs9ZXl1meh7woznZz9836fIdPc87ld0eNzvrbSjZ+ydyDrp3+XtmeeVolxkW3r2/WzzjA6P9uxz361n1sMry6zXL9LzaC/9+bGfdv9L9nR/OOzBfvQ6PNpz7g+P9hwdHs8Txg8ezyv0Y9D9vDEdRl8+M9brMM9XZK/988Hoe8k67Nd/Ttp0vbG/f9nVzvP+xt31W6/D7j8MOsz6OT+vqetX1w8c++mZeh1GP8zeoMNubwf9GnT26y7bnPYv55FkHe7uf/U6PO539HH/Y6/Y/zD6Ku3qdXi0Z49kHu0VOjzaO7/e+K5V+ufBH4HR16LPgw6jf9yD1usw+jPoz6B/7qf7p9TaM3qTrMPovC96Gd5vkazDcZ7XtH7gmH/1j2N9Kfv1dp4y/3Csr6zD3fXncP3Z+zOz+W/Yt9n9adWPknUYfTJ/DB1mfZxy7f36g2N9Xlten8rjec76gtGrZB2O/fOk8YX9fJLn6nXYry9Zh9GX8qTxhdFveWqvw931bbi+9f5O2Dc938K+mT8k2DfVYfRNsF+qw+4Pu/1ajmy/VA/7pXrYL+I07JPqYb+yv4YOo9dy7P3zweiPHGuvw7G+db34/jJGZz2hw35+mP/I+MNu3+Vak303dn9VmB/1n2D0GF+N42J8VT99/FQ/ffxUD/uvOuz+h2xn8j+MR38bHUaXsrVeh7vra3/+LoM/L4Mug/7IdvQ6jH/CeOGfwOjF1tsjmV239eS6ry8dP3H7qvMjbl/xf7N+D3rYXx3/sL/411mHx3jsYzefntFXi8fQ4TFeQ6+Dvlj89vHbE/v1nwXb6/AYj8b5JHM+Xywe9/NFeYyXwz++lxQvl3vudXiMpx/JPMbrbp+M3T6RL8A+GTM/s5wpvoFj/tVfjvlX9vUj597PLxzxatbhiG+Iv4hv3nw+ePyluudPhnyHX2/8n3wH82Mc9i3rcNhPzYOFf/Aew/2P4f5Hv74Oy+ewPuEx34N+DzrrDR32fNCg74N+Wj6E9Q2js17Q4THfhA5HfFiffv3C4T/VN/tPNV9v9sSvH/072e2ctfE3jv2X9d11zpdFA2ZbvzD7Y7Z4j/MTHvOl2E+Y569ybH38C8f8z2YHMvv5YfvZzw9j/GfsPf47/N98cTt6jvh0vXN8quz+udkb7g+jy6DD4X9Ntc8vwOE/Ta3X4ZjfJdlPmOefbT4ZHzjyB1mH8X8XmY8+/wM3XaYv+YD3c7z2jE4+AB1mfq+i+RT2Hxz2n/wD9p/8A/uD/AP745TefjIfrN/Fx5/zO+vj/MxSzn78Ydb3Kkvp1zfcza8M8yv9+Ba/PrP716VO/fVw+CfH0efHYF//ZbV1p/EjzP3Fz69Fevb8kdlDzx8Ze/5o0GGff1svPv/G4d/rf+T34Yi/yM/Nrefwb9TfDv8G/9v8W99fOr8wz/dxZ9SfteeDPb40e838wHH+6Hka58+b4nv8GcZ/9G92Hz9dvzD78y3b0e9PmOcTOZb++WD0e9Dh8A/mvc+PwuF/ypzzu3l+m8UzjF/z+Ab/hvjW9pdx5O9qsq9w2Pcz5Qdhjw8GXQa9WTyKDjM/hxTp9wcc50fWr0En3vf8k7Hnh+R5Uv7JOOKrJ8U3cLz/lvLjsOfnbTw9P+/5BR3/KuQP1H+EuT/1Cn4/6n1m/y1fwPqB4/zeLO7K7Ou77Huvw+z/VoiP1b+Gwz6pvx/2SblOh+2bRe26rWvY8zse32Vm/bePPezPT5j1TT2K9Q3H+NYUn8Poz6DDPP9i9Qz25eL1DTs/hHrzcvTc5w/79QmjT6L5OHSY+fn80trHRzD2fZJz6+NfOOaf/Fjm2F9byn/CkX97Jeff3pTfr2W5+/UJMz/4k8wPHPEZ5zfxmXLEt0c6P2DWR7V8LesDjvzNmvKvsPs3ov6K+zeS/RfsAfYf9vy7THvvH8Ce37T9ig6H/1zvHD8poz/lSTrs+QXy1SUz43+UcvbnOxz56+fM+esn9TOc5u/hP5yD//eUOcUHcNQXtN4T9YU95X+vknU4/PN6Z/+83v31UR9T+wr7+WL5RD9fjLm+Ce+n18MxP/Y8JXPkX7XO6/lN46jflasfP7iLv5IOe33Cnpf39/ex+SWeZ3/BzA/5QvY/HPkhvZ/nl4yjPqLvG/WRPeU/qDe7/Rzqz0uhvot/vyb/+Sef2I8fzPVbkZQ/hcN+b2Z/VYfj97m/6rD7p1Zvcv/UOPyvXbL/tUvuR9lrql8bx/wT/zP/rF/Lfw76NeQfL5nn/vnhVu9V84H4x/fWc1f/l5yffCXHD6X18REc/v9bs///pvxZEfJDlt8W8kf4D63118Nevx902PM31NtK5ohv1d5EfKvM/r8sHuB8uob4gPOS+8NR311Kn3+BfXxE+zS8/mEc9k/e3j7BEb8S3xK/5vh39/gU/z7Hp9Xtr8VfxpEfXZ8+PoW9n6c86fyA3f+QZentOxzxna43rl8l178i/8T+OJN9qkVS/gyO+P3Y+v2x+vlP/4ek+lL4f6qvIql+BTN+s9cvdfwiv0r+f1n68Ye9/ly0HuX155LrU8Xq3dF/0NL4HB7fW/+Bx/f2fEL+3J5P7lT/PAYd9vUvtaX1b+z5NfM30Uf/8ynEL5zvz1B/Ur844qMj5f/pF/H42djrn1Yv9/qn5Pr6ZvlMxnfz/Cb12Wnr9yfs/mWhfqD2CQ7/2/Jddn+Y8aVejn8CR//KeuX+lfUa8pNJh/l98sX8Phzxq9ZrOH9h1vdh9or1DXt+3Orlnh/3+jn7j/6PzG5fLV51+2rs8YfVuzz+8PoY9p38AvY9x7+fePXJ+b9nqD9zvlB/flP9cCv0t6h9gHn+yfqReH7Y40tZpn79wNGfJVP/frCvD0HPHP0zmg9mf8KxP3Q9x/6w9e71iXrl+kQd5r9eef5zfbKZPWV84Tj/6pnPv5rs08cfXPvxgaM+s9dcn7F+MfNPbpltHah/AnfnS+nzDzD6VrIOh/96z9l/zedns/3C/m++f6ivy1Bfl7Q/m63X8L+fK/cvzFt/vo7n7+d9kw6zvnaLd1m/cPSP4P/RP6Ic9UXiD9Vnjz/Mflu/hNtv48hv0p9DfpP+HNXboDfX2Q/3sD+Uu/jzGuLPq88PXJJ1OOrD9Jdl9v5Qq/ehwxFf3Kl+DId/wPmDf5Dv/1i9nPvD4T9Q38B/oL7B/fEfuT/+I/XX9Ur1P2OPf6xfj/0LR3xC/dnWh3H0z6m95/yI/ij6g7B/+nxw5Leyfrp9pL4pS65vypLj473m/qQ95d9Pi0d4vnOIT3ZZ77w/lGN8n1SfgP3+th78/tSLyc+Zvfb83GC/NztvIn/xpv6Aeeivnof+6kmo35v/aBz1A6t3eP1gqv34HkP/8TH0H5+S+2vPIb/yWj+k94cae/6j5P5PONYX9R/i3/pk/zX3L8KxPt60f6+hP2H189/6s4bzvw39a23oX/vEA3ue/9w/tpZjS3rJ8UM1f5X1Xb3+4v1nSX9cJz6g/4744EnfQ+z+/Ba/+fPn7x28f8y467+Sof9Ksv+lv8f+gGP/8NkG++dO8dVecn8VPH7v4fGhx1fMvyx5/mX57XsV7292+5y/d4n6OPtvNb/oevv1A3f9PTL09wz1Zfxj8j85vj9K7s/5T3+r1GT/Jxn7v3L/xT7EJx9/6OjzQ3AXnz19fhKO+vud+r9g5q/5+ZQ5vn+wer9//0B+m/rUvKfvRwb/jHpj1Pfn1J9ySjv794Mj/96uXoe9PsnzUp809vrOoL/j+Wv+DvYL7vKbc+5/O+Zc39T1GvVN5fD/9rWv38A8H/YK/yXsF/1l5erXxz34P8tQ3x7z75vb58wx/1kP+41/urXsn26pPyXsI/b32HJ+NdeH4flolg3TelR8n6H7c9Sb1y+r2RPtk9jPK53Pt2j/5vrq94nzWu5c/7T+pH/op+WvDvt+clm2vT9fJ3v/yJ+rP/t6/vyyesGp6+bc9Xuicuq5bd/5jfprerH6YH31O0BZ6a/Q+Oe0/Di/P1u9nt+fh/o9/QbosOdHRL+HYfzgqJ/o90hRP1mn3H9A/UH3Pxz5iVwfhP18LJLiT9ivt3ya5zeG7wNq0fH0/n3j7vu2pMPs722of22S1/8h89r7r7B/H+XxRebob7b96v3NxCf0Xy13/3ww8e865Oc9X0r+qawp/wOH/auln3841o+eV7F+cn9p5Ef5fkju39Y36/ex+CP6G9QeRH9D9p+a11+tP8C/H6Je3dL3I2X8/sfuF/0Xx5a/n6spf3YM37fc3n9g/qlxV7+Q/H3Cm/KL3o9qvw/H9ynUf+fUH9Llp87ev4S9/8iex/uP/PnIX9aSvy+pJftP9BfgP+X+ArfH/v3Tc+X69pX6Wy/3n7g/9QvuT/2C7y813vP4Wvl/Tu413Q==###4728:XlxV32DM 3fff 1260eNqNW0u25CgO3RIfAyJrHb0AvsOa9ahO7b0JS7KNAhydkzx6usaAkXQlFMrF5NX4F1T2ffxTKoVJdiF+5HZ48/m/xgif/5Pyx+f/DrF//o86mw+ex8sqh4/s4YSpI9T8kYt2+iMXVctHzmDO50uuaYU3ytTz/e58vZL4Q+lzvt7Wc54SfwDqTUe9xCfA9YRYYYX/nr/1+Pfa3tY3ZqDf9Pz813oIH0JqS1kf5x8sJHjTR5XiSs/v3z1/zz/lt/FZP/bbnaut2aLe0HfI5/i6W/pu0FZ4+b1+4Xn/A2T3puf3f+t1PvWQ45u+0vndzcc7yCuZ339s8KzvAPX8/hW/vwNr8DncXgi8DnH+BV7KXuF8x3dQK5nfH2leuh8Znw9HPxeMsqL5u+NAu6jarvAV/Cn33k69xEt7knj5Pj5/Gs7P03f6omLF/aXj6IJ+ns9G85PzlXgp3/sT/Ju+bcZ76O3r+KR36jj3JYZyrqe7cO5fLl2t9v8X/vbPrbzpD2jtTd+hLcfn/f+p37z/8j+q5dX6vcLvVSIeXCnz8wZ6XuFZX1U/D5CzeP4L4W/7xPVL+5H43fO8vt35grGRK5nPZ4GI5xk6xtkIp/83sZ/7EBWc4xuH+mEPdYWH0M9zBMqgnQm8jHcSv3v/sDf9Nr/hD9Wb3rL/E/PJH9P6fO+c9Eo+tGqn/atYVvrbP6/HYz380Hsa36t++nnnKB7R/A8oFF9w/j23sMJ/7f8P/LW/CuPv9v0qvc4vAcb3nd790BdgfjZcxRnfGzz3Z9hnW65f4Nm+TSnx6T8iFPPm3xj/FV8p/rVA8xXyZf8U3+X4B9lbrQWWMtmfh+Lf9Dx/qWf7T6og36E4ChX/zvunFTqOr/gu8Hw+e855Ov8Xv5n3X+J3z1vanwzH+b1q9sQPcD2u+mW8lngpy3xA6jugP7TOtJV8+2eDPHps6/P9NkTzxi9+4YfdGPRz5G+FzPnJ8Ef5TT/8c3rTB8X+dfv80n4KYNwLFe0nRcyfvML5Q0/I15Q7xxvH++R/mtYr8cml8/u5gv5d4iX/knj5vit/A++X84uIb2DP9Y3veMo94PqaMubJN9NgjE/+KvFf+ZjAy/E4v2D+utPzuDu9BfTX1aKe4zvrHaDflPOV+N3z47unN/3wy7Aav9C8bW12lR+aYDXmP+h/pHzlP1ANxmF6Pz2fgrFPvNzvX/hrfyk+SjzPP1TKJ4V8+SfKP6U9VxeJX+JzUvZkbybostI3R/5AYX5tK85vEJr2PJ91BIzl+n/gef2V+PtOD6QPGvevEk+9z5897TR2fN4ojA8SL+cn8VJOzGOD8yv/bMJRcH44vpSv/IrkXXx2nfJfye+ID6aK6eQuPvuK+cCXTPGX+fnX+ug8uYrr2+lLxXgTAM9DiN5M+RH5Nz4/kfybxO/OJ+N7RD4TwOnn+Fqh+hdeyrz/SR0J8xN9zPmJdk9/YhQ6trs+MOO/62MzXo538+NO/BT1d/2A9RpW75P43fOF/Maufsj1xwYYz8KImB0D0ISX8e4XnscHqv/s9Jb0iXgg8w+j2jmvvKkv/sJHIJ4dw3nepax6wzqoCnYVH3OxyL9pvWx/ALY/+aTKaEe7/JDx0n8HMDRu8iv7LZXyXfKvN/8M8PTvBwT3lv8xPpA/d5nqHbT/FVp82g/7d4mPiviIw/nz8wnLa/3o+H3NoSrVRSf8F1/6gb/PR8X6LtXX2P9f9WEV+rO+wPFE4nf1PcaXbmm/dUI/ZBv6B4z78jxI/AhADv13sXN+h/xAd9bj+yRe+guJt4C8xeVI9WT8bl0XWt98/n/hE9LmEUcwP5Prix3jZlOe6qMlP8evvVDejPX3b3v8wjesd+D5SrFS3oH5iRxP4gffIN6G+x51MGRfFQvnlLeqNd6WjHGP1ifxcv4Sv3u/heM8HwfxoTJWhv4H7Sll53A/iNCrevw/eLY/ax3lu/j3dJiEcQ/rYpV5unhe4uX75X0M21sHD0/7+1TUV/nSDi9l9+N5r3xc+TuOz0Ghf5H1/UB82QDWl6rC9ftczu9me8Q6OtVnpHzzk3d9hJTe9O2HvtP9Tb/Wh/qr/q4wP5b1F4nfPe9p/Tt9oHgwDlKb8yOqjxL/YH/HcVDid89ryr8K5xGB6zt4Ltm/tM+nPO8h0M4lfle/5vg0+DXGicz1s6u+nVbzk/jd8wfV33b3jxzfdvo8POSTX3M+yXoN6M/k+f7Ci3yU40cHvL/d6dXFDyhu6zrzS5p/6gbPC/lXiZf5j8Tv3l8A17+zb/vJ8D/vI70SelAO471CPuAs8jOJl/zhF/7mNy6v1sv86VNxfNXDen9Zz/u702vaP/7euobZv30I4qPem8N8Pzr4M8Znuv+48wf2H1g/+TrvAi/5LlWxRnwIdTU/6GhPTeECd/l1U8hv7/OKPPSR35D/0MTnWp7PN+Ll/kk889lsLb0f9xco/g7+TTx65ueMl/xc4tm+Y+D6Kd8fb+57Bf6AhPtg6XxyPFQ6rviuxMt68e3fPc6X+EAbTP0ZX4D4pazHSHyhvN05zCNv/4XzKxF5VCK/LPFf/PcHnsdXxI9lfOP4wff/jfJzo5J/rj9Dyiu+JPG75xWk8jyvnnjEVT+kPGxXv2T81/0l/b3TORwbjrxT+/48n58bnFU9ReK53nv1A1z5OfoHa5FfeEC75O9fFNadJP+T+Mz3wAHXxfyf67P5c5P70Eu85JsSr+ncBYqPLWPcZvuV/F7iL3tWyKdYTsRndvW/TPmfrPe3ju9n/yf59G2fLlCdk/oR6L718l9A92t0nxQ98jWBv/ez+KecuJ67yb8Yv5ufBYdxgetuuk38qgF+ly/+I/C755k/yXrmfT+H+9O4znV9L7IPio+yviHxQN+H+Tuvn/tzpD1d/gkUrO6fmkZ7HzxA8G3MP1h2gPnHrj4p8Wr4qdm/HvrpXzUQPZd4UX+UeLm+u76tylv91ADymZ0+kF6ed97fqnD/dvlBpHxl97yj5y9/Q/0oLLN9y3ow2y+fO2k/9/2P98/zEruf7j+KQn0H5KNVI9+TeMlfJZ7jrR4HaapfUf/Rla/S97j5KcoezXD4OeRpEi/vSySevx/7r7t/CN8v/eVdn+mw4j93fYnyEtpvx/elbEeb/gyJH1EI5vwO9YZ46W5+hvjdrn+M7Vv6Z+6P6KrFud8S621X/yNYu+RbAi/7Je7+Eopj130x2uftX7F+ujsfjN99P66/Bm3pHgTP69X/QPUN2Z8m8Xe/Q49PmfPz3fsr8YPd/Sff7+zim1Ggn/jO9/Wa4zrKsl4t8cw3Dd1X3f1t2F8l5y/xu/6ZrKgutztfY0Vv9sPxcbe/nu6f+bxXSHm2j1Te7lcZL/kv7w/z3935HnnF8cxX2D55/dw/xfN13J929eeSnvO/EPST/37iEeZVlvIl4HvHCS/rvV/4q19ZiftL9Bu7/kDG3/buJ/sc+U2c+6mJN9z2r972z1FetvNfwy9M883EI+/6kjFv/TMSL2XOH2S8ZLlQfLjvK7Bcx7JVeL+x6y9g/JUvkT+9+m+pf1Hm6xK/q48Eiq9NY356cL9LQLzZ1F8kftd/z/WxXX2U+/PYP/D94M0vUG8jTigdaB8SL+8jJX5Xn+T+M8lXb/+L9bddfU+Jel6rQc39r4H6Nvn+n+8bZ7zs17vrO9j/Ib/Ho76G/YfOUj9anX4/QO53W1+yCvvfNPlF07H+c9sn0Pege0LqR5V4ye9/4Xl8zm9351eTf3MXz0lT/7mh/nX2V5b8F+vH+cZ6dsf9V+Cn+oAmeaf3JI/E15G/1vP9/Oy/mZ9J/M0vDnH/cdRV/5fE7+oTje7PdvlVIv9Qr/wvT+eb7+cD1wkc3j9LPO8vf8+7vxPPh+xX2eHzxyNP8Q/3d5fPcf8gn+/K/aDX72PKsTr/En/341L/+NU/jfxN+os7/yN+TPlLvPIbqqNsfw8y43e/D9EUP7j+OU5LePL5SvnHrn5/4YV/4v3h+4Pd+vn3F7v84q7vbvnp9L2MCpP/bnSeH3q/wu/4LeN3909NMb8je73iK8f3iv1hke9Dqb9C4OX5l/id/Y6dL3O/POU7JA/767O/nWXG78534v5p5jt0X3DZE9nXzt5uPPeLHFN+7okH8v2contjiZf9LhK/4xeK+B7z4/SVP2C9j/2/JX4g8TI+SPzu/Q1ceNpL01+/T8P76ry2L8bL+sI3HvfnsOCf+x2Ef5T99YH8787/cHxje9VU72eZz7d1mMcY5pMSv3l/pf6v3f1HJr7diD/p3qbz5Ln/k/yADaiX+B2//tTXVvn7ff/VJv/F9YqbP8z50EF9mJd/ovfu7KOo+f5Nvj9SXP3337+1jkf/o/7Yf/7ONf7RWsFf/80V/pi//pNr+BPMPzbYYfC6UuTgTkPqoI52JZuInm8wl7CS3VUZtmUlj4xRPT3RTvbE9KV8WSpVSqXMv1RmGXfCqcdODB8+7QSMnYB7JywU7OmOmnK6WFcy74wG5tCzzL8B+Hz1laxdaszZVrKNmTmhXcns40Nfy3fNb94pJ3bKzTulx04dvFP9WJ0Z7s4J1D0TqTvHRK9XMt8WhOz8Sn7cHtCvc+fxR5jtaP24Milf7FSslMfjlbIs8az3806Y+8yYYqeN0GMjtE2JHszUNsRyVNNA9jmQfxtonNrwHCjNAx3PgcLbQEXMqNGhooHcGMjRSK3PA6nyPxAbI1s=###4808:XlxV32DM 3fff 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###4948:XlxV32DM 3fff 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###4860:XlxV32DM 3fff 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###4868:XlxV32DM 3fff 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###4888:XlxV32DM 3fff 1300eNp9W0uWxCqu3BIfY+D2Ot4CbIOHPetRn977I1MKDJG4alIVZYGF0B9ss2k/mzX189un6O72U9OMN7ttXzoTwwentIURu3yX7//N9fl1FzNjjHfpzON44MsG+8HFmC8/ewpuxOCvpjbzwB/wZt2X7jZxk/ndMWI8LybX8TnwZe35fU86vvzsyV4jxvjGb5D3zxjPo5mfA5ec0wfbtH/ncyYfI76s37/rNvsu7/dxxHh+0nNgzH+kbMb5gTHeJ/cdZ5JPI4Z8r/ZA5NNEN2Ds752O4/MrmruOOOTjO38w13e9hzniiLH/MWF+2X9gPL9N+eoBngNDP07jraxf9AMY43ejbOp4YMhnN3cSvcx5xNi/nGwQvZox5GfSHkb5A2P8Yz8zhnzAr1H5AIO/kmoe+QPG+pvGxNE+gPH+K537+H7gQ9T8Pts2f/9okh0x9N+kfI76Dwz+fdrKuL/A0I9N5Q39AAb/SeUB/oHB35XEXwTlD/jIZZN1hSR6VcKIwX/TNzfyDwz9yCZ6GTfjbr/NYQlf4h+Au/6rP+n6rxj6kZMwDP0AxvxnEnljfmCMj2Yro30CP/op8z36KRjyseY+hS+RDzDmV/LOHzCeF3peSP4+FTfODwz/0fzBOfoPYOyPT/UY9wcY+tX06hj1C/jxD3YX+5wx7Mc1wY7+Dxj8bSnVMX4A9/EmX6P9AYP/w1x+5B8Y46PGA4yPPT7A/83xL/b4J/IPKYl4df+B8f6o/tgkW0aM9R1KD/kDd/+cxB7gf4DxfvtZ0te/yP4Dw36vtG+j/wGGfqZU/KjfwH3/mr1P+6cY9p+VH9g/MJ7vKZfRfwE//lPeh/3vuNtvWfqrkI4vHz4Eu/R33ml8FHtyxYv71fj3xAP33Vemv5U+J/E3jz2K/+P5mJ6fG++t6H9a85e+4jVbvOqKv62ZrfhHzWNofm+E7rTHvhyvz0PROAec0ylxRfO2O/sVv0xfrb/EHzsr+qT+WeMvv5/p38ZXHe9VHnebZhWPWN5MH61X+V6TPiO/YXkzfQhR7GNDXDm+9ntYf6zWx/RXujUPPDVO1u8ftsj7IO8jHpLPEj3vJ9MnL/welE9tyZtRHsU7u6I/N5HfHrZ9Rc/yZfoatlP0Q/jC/rhPiiT+QuLiLX6X6X/0gehjdF7y7VLHeGyNYGdgF7p/RP9jXz/0Io9nftRLdWmPTG/ydok/3M5RPxs+xuchSb7P9KyvTL8b8W82xjr6t5bfS70Qs5d8VDDT1xKlvtjikp7fz/SPfz3qGK8R52EPWz6d8D3TG3+gPqkrenvK81aPbit6D6z5ItOzPTI99MWoPnK+2Pypl/nmfLzTk79k+lf/gnhN/pPzTbbv5GX+qPk/+5deDxq3/WUfyE99KJLXaj7kcv3ydaZ8jP5oD+JPmP7XP830b/JF/c/+rqXf3/e2+F7G/KikNOGa1vGG6X/iYRR5hyT7wuM5H2d+kK9Anmwv5hbsksiH7eeJf3Ef6ZPu55PvSv7I8zH923jkn+zPnvhdJI6o/4a+PvWC6P+PfhH9m387jeRXT35cL/Kfx1/+FfTMf9cfrV84H+F64A/7Tqt4Av6PZKf8587RTPp+C/7JL4j+bf/RX6un9iVUf7ge4PmYnp9zv4z9B/fD2J9wv+stv0X/6u05+ldJ4835yVxW9QrpL9O/6Sfqlzf96PXK2/s1v3vTP/SXOF9L/jjHfOEtPiC/fZMP+ktv+/f0m9b6if7Q2/5d3T+s/VfvD8EPS7nW4oXYRbP773t8zjr/3M9q+aP2JeR5KdtXvkzvrGDkK0zP+V3Ish9G9xf27D5/DTgZoWN+f+nV/qmf68z5p/6DHuu9zb6NuOl3XK2P6Xcjfb4Qapz6Yapfp8Y/Y8u+omf95n7P2/PuH3X+w57n+H6v8WE39/c9Z7jKiv4uko+fmr9A/7zKb1P/FqKb8vuqfLU6Qvr95Q5TPyLd2n+c95vpYY/eoF8P/ykY/vU4j31F/1P/Ej3nL9zv6utphdG4vqD6dmkfr7mbfVq/0scUrjH/ZPqqdhzCbVb9NM7fmP6tPkb/7G1/ouaH/Bzjr+RkHzLiDfZ/7pe95bfIX96eIz95qw+f8yHEb7Jfsme2b9DvWm9ke5fleQH5c6aPiu9QwqofxeOZHvGn3K6uzgvYX/7Q63x7kf3m84RspL6Bv2B65o/puz/V86R+HmfS9Vd+lfr5E/T1mvKL3czY935k15/9r/Ge+peHET3hfvpTL83PQc/5PtOfes7YtDGs+u3plnrHqF9kevbfTM/+9TlPnfunztB5bD+ffdkf1Deal5t7m+yl+RnJa07xA7uu/4ee6lemB7879Vtx/sv1BNPvSfy6jVed+S9mJZ83el5/74d7+JdkVueRnH//0st8OUncAW4auP2VXzA946DjIY+a5v40+tWof7dSzvE56JPKKRfx79DPov65JokHrkXsOX+XenEPwv+mmOlvK3bgW76+Og84NP9s9Y70KYg+317imZE6kOk5PjE97KdYyc/5vAD6Ze8rj/YGeo5PTP+///3b2nyUf8w/x3//fZb8T0nbv/5zlvSP+9f/nSX+Yy//35YENke5qy3UcmktL7Zw6R68YQcfQBi+7OpnvWt8a853aKw/6O5DyxW15zLzx/Rv4x9bk73L9nRzbnNEyUn1TLKIbjD9ApuRn18884uzs01z5z1JqA5nTit8W+k1tnF+hZFb7fYIK8zr+cHoVaDXRbjfRejPe+xydFboptwiofbVuwgJdz1meWP+Ln/SB8wP3J+n+awSmPcbZ8n1RZ/6XQLFvD+8Hrw/pZ9c0K32+42eMfhXW63NVr3YqjVbmIw1uP/60DJpPUU903GNVXq4ZOcZOxuKdA20y0kYmhFDzksMr2hmTQHup6aKdSV3W0mUlTRZz15n/3od96ylZTxROqzSsfz44hUuWgHcWaTM+OnwXJoBa8UTo1hVFCsuGgEZR82M9gCrmnHvQOgNkq1IRM1acVWt4HwQK2P8VIB5OhHv688SIW5zlhXm9/F6cYIO/PY+4KciuierBO4Zo8ENF70Bopjl0W/wdDzLn+crWfdXT7yZnuXzTn+H5XqMVMDB1riib4mS8JOvc0XP72d60fXTjFZ7HZOyb81qN3/A3xTtZUfV7Jbb5RXee226xs9ZYj5XGDsBrJzah1PnZvdiG6OfQj0FL45PBgJvaZrIjROlvya61M8Ah3kiP06U/5ooEkeHTqwTbcMmtLxotQm4oFJRoIftUOxXuKKQKtIwYdyFnnChZsZ9E9LEaXg4Lfu+9vFix0eqctJScGPg2lYYlc1+57zGoj1vGIxbWoilhdh5IXtbyKY+/pj3LraFxJZW9itXqgTakqrm8CvcFEuVRFqSjJ+Whyyc5w9YmJeFMe4OghYaaaHA/D6YMbAKIj47Wt3S/nF3J6nndSEW7eXZFS79jtwag/HdzAsBxkL22UjSYG3erKztUOtqOltHazvnifI4kZ0mSm2iT0WhHNwQtXbd0n24Fa7GuTHDZgxVQBfiDVutQN7wkaRKesMmCV+Mn9Mz7ToTfm5XClZBHY+NtPL4nCR1+I/IzWPv3iSx52LRGakr3ISCm1Z+hWH/NerJCmHEYZyU8ftr0BsnbfdX+DDhG61ySccKuygVblWXzbhrq8ZxxkMQKmt+fRqxyvoc43C9Vp4VdzNs2vTM3ktG8Uk1F9h4zQCTvpmxZtv7JXbJ+MlI5pUC9+CmWFdyTVpzr7UmnUHvwyTJlrXvnpsvWeFTna1Lu13h5/5EDFQTTryetCug79mSYvisPc/OF+NvrVmTlZqX6Xl9TF/VZ16NrxU9arqk5/NMr7Iuo9bcs1P0TWs+12YSbq7oTYy86YlN3pe4d5LmXb9IksDKSR1zoesv72zVOwPf80T3ONH910RN7+5xIqMTy0TXmNlaV1cW9dzaLvoVgPhto6dMjIt2C7Nf40u7aFtUC2KMU5KEr1xmDNmWeSV2XElcVtaI0UgPn57L6VcYlTTO4xhX1edQ8hIPlXRd4SGDHlfySb1D19e5tK5f39Dyja2IRrbKRRSl+DKeBTK+tFK1RSIM47Y9do44M37OFuI+5h+b0f4L8cMYd2lwtsb41krcBdESxj1jVX3m9wftz+GsgvHez5LLucL9WxJT7Qojgj7PZ3nANwIzv9hrYN1rP/qm4le+6fmqRrvcOWrNqlpHeKgU7xUGJ27m5FNeWVQtgTg5/ceAmgW38HiNV2ifI00vR3pGr5zGIke8EqGa2xV1YhxwlJ5dXeHnyE3GXc+RTNSrHlIzxrKv8KbtaBT8jNGSx5X6N7zTJ0GbXgF7rlRJupEuPZK3W6YrMUmTVD2ikU/omP5t/Jmkbcnyr6eaidmuFWZ+UU85dQvMD2NcAS63XIlmzPzgiKpl/XH8ZAkYbVeTxIzgkoFZn55PKv3kQlvdN5VBwDiisnoFCp+U2P5JH55rXZpmzPqGNnTXP96P3qzd42q/8RyY9aX3OpCu4pMag086fRwx5ItPjNDxAMb8zycIIh/+JPDpYEAfUGbik55rnz/pufb5CHIz8ydBgtle+icvitGYyPEuK31h/8H0rL8/9MVo4XD5lT6w/2B6no/p+f28XzV4vVp61NV+8Ximj9qwdsmU8cgS+8fyYPqoDUybj+kTv9/91k9iif7Nf8C+6okrf+e+0pef9RE9riTyJ7DQH/YvTN+P5KPsE9szxw+m71f86BNb+J9+hb/U6RO5/omoXrlH/GB6HM74TfTlh570i+k1GA8dxNvnVb/p6VzLxt+qSA3HFd71TuGmd88YP3c17rjCcOTAyuinQ3hqslrcnDU4k7ZP2uA+tSzuqjjcLevfdv+NjUHCcOOc1d7/D5HGVM4=###4480:XlxV32DM 3fff 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###4872:XlxV32DM 3fff 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###4852:XlxV32DM 3fff 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###4848:XlxV32DM 3fff 12d8eNqFW0uy7CqunRIYMOLUON4AwOBm9ap1o+b+yJSWDTqQtTs7VhjL6P+BJDJ3/zPkLtP/XCxuhSv5+sF3qWGFI/nywSG0uMKXDfaDD2p5hU8Kx4j/+99/W5tu98f8cf/8u9T058jHv/5TKv05/vV/pcY/9vjHWZcNBfd5keRF4HMm5EdC4RehZloZCcWZUBgJnb8IJbWjSwgLobMTOplQ0zty7kMpuRyta19appxfsYnY052X2LnwxYHKGteYPzj33axwTYm+aqXrq/ZG3vPevyyYkNyXXiGTN/i7X2uq/byvseZHY2/5e1Ww/n5JXvZ7lBW+KpudNzaucHCML7q/utAYZkmiK41hpsC7/QJreZJJacTeHonN/vtat+Ijj/g0R2A+HPOh11eW/2FcWq3X8tPrxRZjt8XEtliPOtti67bonHGZeqQw/PcNDV2p32/dH59ZYG/5fxdYYd7W7x9k4gofdKePjDpHS5xTu786+qjj+7pjB3ZdDB/bcOEadatxNuw7td5hhW8rIUx0rTFsI20wbCU9tsK68xS/tgFdA+M5metmW5rxa4v1GOMK8E7ewFpeoT8eMb5/Et7n/b141p+WtxfbazWaFb/mdrL/yLav1ye20R5A22p9LUdjG292tV7vR6+vxDHAOrafv/md+dPrL8HHfbWVvq7E64g41un1RvwiJvO1C73+L/9Q62F/d48uK3vY2SvWi6/TmMDqKoFlMSxPrY6GVucElkZCbSIUOqHgMjzAUrtkx1+JZWq0wsFIVEtr7MRisl3jy1rRJDxyxifZa8TCSH4ZaarI8J0R/6b0brJf02qBRXtIWtG4qLRSNmkmvYKZ8FBCXMNGy7vRnlDLSuRwaveJO0Pia4WNS2PUcR23FT7LvHONsfNsZk6AwQmwcHKNtpN+GaETIwS2goVQHQldvwj1MNxGQsdMqL2E6j1bszs+GbDnPx/Ev74vxiC1h1ivxhBFk/UaDwXnuJF72EhY6hhFRPqkqm9CjF+duR76VjgSJz6yt1/hSxLfWZEQFJaN93jQVti88aI9jHRRdEY8rNXOIs3fArcXFYdJeRQq9p5EiBpb4iDvwxrf1UktUdsKX9ZxcWDq1x6r8OosZMX2TQGymjF4N3THFb2TXByxXo+Q+Lwv/MUeQ1b70fLR60XW9pX17WajObvRnC6jEuyO+O1KSuAGr7sFrfDDGM2MHGZmBFivfwQBLN4RaDYqvK/3p9cLo8cQAq05f/np0T3r+6XKnJ1UyxLLzo24k8bgBFh24qZgfKx3whVUbyG5+wmHVGRibArjy/cj8xljJ8Cyk6G37S+sW1LHJR9VCT2MHU0shZFQ+kWoiDsB+5nQORLKvwidakdJCAuhOAk5rYT8CoWTeiRRX2rnCkOoluaIAAx6wLITGg1PRTNxsUeqhittV1m9nrJd4VIOaRDqGpPjQrFwQ6IxYptHwafwY8iKU6M4NTOnQ13XjFuJ/OkqQVg8CgnnLywfPlUQBsZGzln5eRS5v9eFma1if9/w3MJhxUPCCkOEZ2Hf1xg7C0pkQYkszCIbCzNv11UI20YvX8xo73lm+RoJHeuamnfQZAfoolxtboXbbcNYsGmMGrlH2fMXtk/CmzFqamBhpE7usiyqUcbEJ+6G78781fwKB2LOjsKcaQxddXr3CkPkwLLTofDrUXpZb0lC6a9XGaZw6pNBxF+4sB8+IlbYJG5sDYKowo970MwI8BA7R0aGwtEeeeO43LI+SdZyRMqS9DXGly8l0kuJ9JpEaqfKL8VfsTJLzUhGYpPlKK3xUF7cY/PdqKVxWAKs1z+JC+9LLD6lX9L09P70euHUjomu/nJ8I44P3Cbl2bGu8eYXIYwzgO+Z0FiWWGd/WQEcD1rvuKzwq3UpqBR+raCNsvFDTRrmCJD6RtJYqcU4dlD903aFMW2NmCBt8Dthm+njUMNEnpZrXMWRq71ohXspYdjRa17hRzCkBKWCPvBuv8AiyDAVQfZXpYmaF5VllBpX47fGZWPSGDsFlp2cU1S/fzWn1vCE+06ReGdXWuFTZjy28pRMY0wBbxSKCj/lm+LEKk7szMmnsLQJYX+WaYi9O+1ptkcpk3nUbBKPcBMfl7Qr0Qpj3H9Q+hbozvLzy8/HKz3RH2O3d8voFk1RIB4B7vBFMt2RY4ASz8kBTnNOBg36p8pEGr+F2U1cPiUeQVL6KsuHdHA3Gv0K28IzWWdCWmHwX579zfzr/Wqc4+FY+fxf4+d4xBwysuX3W+QR8yUO26qnFf5Lngo3w9OAXpmcKwz92F60jPJ0FI+xfAR+jZWO2VjpWNF7BqqCNb9vGBD+pVgL5pKAx+UyMJ5nmp8D48ggGB6u3JL9gLU+4fzAR7orF6XckVQz45CyNLhZjmRyHLG2x7dRYKztHY0JMOgnkzmrC31gHJkEOUJoNGPtz+APWPsj9PP4p9AvRG4c8QPreAD6wJCfk44Q8nuwvI+BNt4HxnPo+50mXZN+ktJPUvqp/b1RfsB/+Zey9yty+1WuM63WBxPYz0uKq/U6/ur1yfCR4Oek5ZvmIjchxfCtBk1Pr68uSVV3+TFeB8/1r/6+Xg/5OpJ4ruS9i//P+s33sV5/X8fjw2SZbWS/8if9vl7/l3yVvoGbyWXlT7vvYz3snwxdK38rckrRBbJcr/Wp1+c4H+nqfKL1qdfv6JcSZfTHLWZfWVfx+n+t19/X/hpuDD/5iE77O/Z3yvUNvR7PjcQjvV7zp9fbYk/ez+XGfAD7BX/R8S0gvV5/T68HPSfxTOeb3fexvgXuxnrgrKt8hKk+RfYHvd5ELiKbEX7Veh0P9HrI24u+dD7T+tDrd++/VwLk6oTYk84XPUwS+yOZ1foLp3kV8W9e/1f8VeulGP7MNns5jwsgfu4wzOH/6cVw/BzXWBGYF0FlOePeYSi0yRm0NVIQ1nNKgI0CG1LhQq49CZQLHEeWfuHukhyIor9Wx5NB7jjs8GH4/tLu/Sr7wYm0TbhDwAXHIfefIuVj7F5e/qoYGBuoNTnz/1meGsNgcMafYWhVBjmJ556OuODbya9buBQoXhrqpDpmDsiYqaVS2IBl/Q4/dxCopDEAgr7+3u77idihd/sPYrig342lrtbv3jfyvtav1udOv7gjciFQB545Qv6nDMB29k0m5Ok+mIxegDFA2+n3Ij4aiIbt63Zyf+/O3BjJexpre9zZ50FsX0dMOByfCshmJLBGnh7fFHiWSoypyrGkwr2hOJmPssS9zeYbHKFcKwx7M6WWFT4vvj8Yuz6W2DDOoeYVhn57fLKjfZ03CllpAOUukcYoCLzhqcQOB8HG8X9L50Q/ltOt8HN8bHj9Dhc62Y8K83dTUvcTc/yFPWVuqConrNCD/tcOJP5ccvFlhxsxPouRsalr4x2qWjgsaAz/vMjzvi3bj5fvPzd45FTjEP9NkuDuytOk3r/nFT7FX1o32BWGfUei45d/ZjlxTRJf8pOQ0YCTHXGWu4pP/KE7j/7jnxtJKp4pvKOncRF8odCQ+KTjlcbVXRL3xd8VRvyxEi+1fSTwYe0SoyCrUhhqjIKu/A9Mcodxp5+4iZ+epC75HDn/wAZnTQqD/2YCreLDk5/IsV8lHggZrt+GAYFrY3yFHjQ/mG4eNB/herm8hPxcy193LA+uA+TesJxnvvmrysBmvb9EzrJ/sH6PkKcBQSOuV3R98NRjHwv8sb8mA0IH+T37wx1M3t9t0zHu75WPz7+e5+c57ntL/JJ8nuphV/iJh5JfIY++Hzf/TEEaMrkO9E781+s1ro++1/Iv5OtYL8fnYiBOW+cbjRo7HOht9HfJlQT4VSscH+k2cjolAy6F0XA4NTDT2FC+RvpBzmR1vNNY86vj+3NnjpJR8fqa7YOxu5PUf6nM9RXz38OwXKxlf8TAotcV1zjw9bf1oz2Q1DU7jP5D29+jf8lf1XH8Q3wNqPdvDFZnDP1VXI/bYCcNpRP7Ljaf8w0i9t/d8yzPdf3+5BuRL/RrcZ30vXOe5/7KqwMNPsm7I8snSX2A057K4fYv/A6AebDz8s/1y9OQi/3t7AP9ha5fYX/eBBlcS95xcZJ/khO53XOc2On4puPxLj6bJ5+t40OvW/2s/5n/LP7YxBq95wGMjge7+KDpaRyE/o7/SHwCu8NdPuFX/HbC3w5/8uvYP7Z4TSeoRQZI2r629qjwgSuv0I/kd01vR79JX7HTX+8HpvjV7Xke4PcVv+Sj12vs5P3d95E/d/kXV8DQv12h3GN89s+Am+NXFv2iPsNAaocxMLVB+kvpX3qfwlfeesG+wqjX++fOFX5/XyXXM7T+VDzexedD5ieaPyfxGAeAGj/+QSfbt9QHb/8/66NXWXIpkQOSzscaI74miWe7/JWlfvAiH3PzT0L0+h/5T+Ypc//25Hvy9ld/ecgBAuJ3Dqdcp+b1PaCfv3CuTg6weP9BBrQPf+pAQuMi+V33P08/ITcudvXrJb/XQ/2A+uOZj6C/29QHNyH/z/6r9aHxqz/U37P83supZ/j13BAPeA/hL8RwruYNGuN3hLknxhWGfm8TZd9O6jF7jvnZyYEz5jOo/2Ev6Jd38rdUwpif3wNWHEjM+cc71CePf9y/nnt5DvngAOCdP6TpKl6VebXON7v8gwMTzC8qoX6V/ctAf4dJDEbLD/St9Hc77CS/7uyzGCtzc9yZnH8DF2UervtbzFMRD578XxBf53nNrj6M0r/v+lv0L/p9xFfUl7vnmCfo+vW9zUrXqr59DqRQ3waZu8sB3NP/Sz8H+dLdpgsIb/81+yd+j7vD7/zgTKv33xtufKFht39t/9CP1qfuz9P/A73ngEM=###4740:XlxV32DM 3fff 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###4884:XlxV32DM 3fff 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###4892:XlxV32DM 3fff 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###4800:XlxV32DM 3fff 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###4952:XlxV32DM 3fff 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###4752:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 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###4780:XlxV32DM 3fff 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###4584:XlxV32DM 3fff 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###4816:XlxV32DM 3fff 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###4860:XlxV32DM 3fff 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###4832:XlxV32DM 3fff 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###4960:XlxV32DM 3fff 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###4688:XlxV32DM 3fff 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###4496:XlxV32DM 3fff 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###4812:XlxV32DM 3fff 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###4696:XlxV32DM 3fff 1240eNqFW1229KgKnZLGP/zuOO4AYoyP/XafevXcrxXYiVKm+rzU2gdURFBAs7X+d9CZP7/Zpu36pbN8fmuOx+fXGcagg9+ETJ9fb1pY8Yd8/fvG1sbrH5Hq9f9oDvv5pWq86X9bPtLFR6Z8MOgmbHbE4A/mcJdcaTtX/LmVS17yW1rx7yLvRnSO8zNEdpTPhvOaJ+Rrhuev5dH8//zzl7VH2v6YP+7vv0rNf+xG/j//K5X+bP/5b6npj9/+dt7t1WDm5yXRSUe8BrJnXGHXeKSDWllhSLqJJjVO5qARi6RukNS6fZLUdUmd25sp+yWR4ZZHLrLGJq0wRk5KkqQkSbMk/pHEhzIJErogwe1oCEGCE+WTTSt80GE+eO8TW+HH+Lz9hU9ybYVveQTLREKfiDc8k0Jpmsnu/N/OuvjRazG+fprVnC7bPGy4hjWGzC+ciPFm8jWNbryXLfaOLjFOw/1pXHO+GkYi8SVuFnN0bMuO5aghrLC3/mqQTbjUfwbH7Sl5ls9FVktMv+ibidevnn8MPB74Ncb4ZFL4hTcz82vchP9N/6HvBb8wib430V+oIY70kwL7QXK8XobOcf6Zsl3p/9YfsX6qyBdzymP/TvRBeXO8voF4flvm/sM+0t/439p7aa/nB/31+ZVV/2gP/Wr6Ydgtc012hbvTXXpqlHj+2bObi71oeb71D/2y/rX+dH8a3+sn+mjE9ruzmHf/fT7pF/0U+d/o3f4Dj+O2yw5DmuzDGnK/6Ieh7Zf+vcin27/Zw9f6ify6vZ6P1i/sYydef03X/b3Zd5P2en87QqrSf1nhr/V+6R/y7fkKAXosEzLbQTMjRmwQZb/tenIj1v5CtO0jRv9J7Av9A++5ej7OMuvX1DBirLfHfkgujVjvJ91saMRP+5nuFR37FfoH1v4Eer7prE/oK1LYRqz9BeMDa38BHRj0QnFqD6z9BXRg7S+gA+v9FPIDb7lVXm+2o2TaOWJ9nsJegWEfO1Hlec34sJbtltDOHiO+zzeR56QZf+33Yn/Az/kn+w3NGPRq4tQ/MORLfYdm/dk6YtA3RQfec+GcwVBj++c4Ehj0Iucj6MBf5w35MGIdj4AODP/Kyr/y7V/zeY31v8/vfHJuZByvt5nx13kn+gd+/Gf233yvH5932K/7ttJGrM9D0JvyD9gT+n/si+lB0cNNn8+7e/6CdTwH/wHW5xn6BwYd9gT6Y19zPIvxgUG3im4V/bMvK/918/qmbV5fxrAf7Oew/2d/Z2wV3X7t/1g/7P9Yv/k8ve1DrR/iPegHWMfLg37jKp6F/TcVD+O8Ax0Y9pXkfIV9AYO+KTqwjocNccBPir7RTAfW5z3owPq8Bh14k/O/Sn6j43kdP2j+vkok8trVfHV8qvnf2kN/Wh5tT2902F+rHJ8+5/1sz7q95n9rD/94y38g31v8Cv99o+N8fst/sP/oeFDzv7XH/vYW//9b/nXHPy/5B/wX+78l3u/1ebBLzaznuWXFn2y7ygUkefQXP7XMtSOfV/ynyNfXkVb8On/T/LupHK+mcq7OQy81vdOWuuL3Ml6p2a34v+xf8b+Nf8e3VC56CRxH6XhgI67dmbDbJb/q/1/5VTxSG8+nUaBVvK71rflD31EuPpvzKh7S9qf539ojvtL1AR2vaf/W/G/tcT6/5W+IL+/aqOxnOh9qISehpxW/1r/mf9P/nU+Ifs5AZRVPa/1qfr1+Op5/2/9wPr3Vr6Bv7F9UKa74df//xq/jxTf7QH7wVn+C/qQcGf+YP5Grkb3hVI20xnE1cq+V6/lEYivEe9Fd+1O4yF7mcogrnPsSXfcBlnGT3Df02V5zUONpDFuIcpZ8tc9cGW1H3FYYe/lbe4+9vwi/wrvYSA/V3Aq3hNqRnLUKYy2yxCpaHyglV9m7NcbtQL1j8bk/xEJZ1TL0fIG1PhDLAYutpKcG32PN1WUAgmAvSXTJfmcD4CKMxkPQElYYEwEWQegRpHg7CbJ1QTa3o2G4o2mJJs0a39GlYBkoj95Bs3fYyzuS253c7uyGI4UYKt8iITJXuMhqwxtQ+dkkMtf9afzshCpyU5G2U5E6mXl1gXV/T6UrTbdwB83WB6zno/mj8bL4tK/kPcR7Ykt5xf94X9pX/Fp/mh/zL/BupY/yKQp8+iuxrPiPzLtPzyzsil+Pr/nFlvbBe2L75T2beE8M7C3ZUFzhO0VWKVVShgAsgpTRe2glyO120jDKWYJYXOO71nbnbjO+a280CXKM3hUnQdrlXMHt/VCX9JTieEwjjHjDOKZRlvso4xK9mjDuQBp30xKjlTRaYzGOKsaj+/+Wd8Zor53TqTRa06tyXuC3+QLDGWHMuJUEDplvRR3CWsXv+iJfR03hNE7zZzmaD0rnil/rR/OLKdTxaLGrG/Gn/iz1lbo1PuNEsQpX7AJ5jZ9dQO+S80LQ7Dzn4DxuWwmKhnCeVsX4PRcYND4lEIQzaTwUUuwKo3AFLIK20bn82rmwOIh7sDg9x3ErjMUN/WeFcYVea2yz8XP7J8aenQfjf/PP+N6Z77gFNd65hpXNXMMqKq65axAv8sSbzs6w0+w8wN/znfmRY/Xcx67ktcUf4x205j/Mxibkol3xa/1pfjYFMuPJc/5yruNO3twxPg7QGJf/e2Yv1/guzqti46kWClgEtaNz5VVch4aI64Yq5xIPVc8hrqNtdI7Zi+vlHP0Q3CVBzClKYsUJmitr/Fw44UKPLxRIEmoXjsoPW5CAz3Q9nuYfjMuvMMIy4LvgQDFPFzCC4RyHOlkOoy/Q5gKzVSdhMHMSgfbYcL2dwyrwf+lX8Wus5yNL+XmiVGUpy5zAbp+Nzn9CdE/88KwGK7oMUszhxFTT+wZxOVY/i/wKo5hRjA1jf9ZUOz5sOww/bLNSfNrt/HCM8LDOcAhdmyRi5TjH51sa6/7e+sfDvDfsZXxveSPzYtNaHo1RzEzy1gr684EPDK2PN1xMiSu8i75zKWWFXUg7j7/nFfaVcVdwXeHdcH/WJtarPFIjg4Nm1gf4N8uXsNpe3jD0MVyWzZc5iKKUPeExATDoJ810YL0e3a7diPV6Y68A/rbHGd/FWblcvR8jCNb2HijGyf6F3uU6RjrwU3w10j8ugxlj/j0z4VRT5g+s11Pz6/6B42e7WuwHX/KKPBmXl8peejTLdseh2Je9bFnoYk+a/8hZxuFqhOb/Hl/xExcDUYDS9tZQgHNylmh+NR/N72vmfcObttKf9pcvfqUPbc/YX/qM6nQZIPal5dP8chb4MdCpq8z2eQXBh0i0G2ohboWhyKhuXaO6lQUWQcKYYptfEVeVhqdEWI5iWeGUubTaHdOu8FA5iys8VNJGQcfyL7WX8m+7Cxy8Gk+OF/wKk7y0bRTDWG5EexRIgpHStMIosPQcZYkRSeAqT/ePAlAsIaxwSk6uhFj3GqMUH+r8NA14eNoRV/O9IzOjIjWjIjXBej4nzfhrvOcpSRwjo6dqauuIW5JT4jbimV/rR/OLrYzl33j88q4k3mUNC55qPFcYEwtmfhMBjP6ARZCp/Jt+CVKk4ZE3PI4pS4zHFKqAtakC1jYVsOhTHk4sSN3OdY4NUYgs6yZsUlvnUFLj+x7L2Dwav2tSj2h819KI73c1Rk7raPMr3DfOCWO8nibm8R0a8BPWgz5jtMd7/ccGTFnNB3RgIzbRjdKu5NH60/xaP1reWDdO08yaX/ev+d/km79PoKG622xcfZ/wXDKdvAC2Rf54pG0rHFyT7wlY4DfsZSE1vhferPFtCIJlImX85MPW1dl1P5Uj/ozmqHWXkfMKZ3wulOQzHIUhGSlJSUlKs6THI+kZ00rlaHhQvcKPFFjFtR+vKxyS3GNKmqVxLPA1y6e/whA8qSVIyveAZSJD8dM692sipzREoSc5iR8VDnLhGA0XjDT2UmjC5bfG92Md4/cVRvQCLBMZiqPW0LGaCaqVUSK4rckIRj5UUtgVLxkNS/6FRfLNsuQa3wGbmklUM4nzTNozk7qH9R2Jo/GdBEpkcp/whZ/3TbMgwM/7y1GQbMZvxbayqok9L//8dJj1ePhc4fuls2AZyY6OT3k1Z9Q/+wYgWxQHPpv4l8bPJ1G85Wn8fCLFWCQZvo+r6l5IdiA0tCQfj4VDHs8fZYURoZ1U6wrfgplziZ9vyyZBp8/j1iER9sqdTq4gSVUvE1dqNL4zdXOeKwxJgEUSP0myTH2ea1tenGb4E8KWefPTGCM7miUBhiTAIsmQ+nh3rOz1qaLPHWdVjMiqGAEsA31SFyu5S9V3RnsPv9JlKZYv363hjBmVkmr41lvTD1xmByorfD+To2xW7dH/Tnn/hTs/V7xsLVKYsqv+ipyVtbkyXtbjGeErNr8xCX9pVZTMJ0i0/Alv8HySaOyrGAuqswqTVOpCsX6FkfkTzZUtjXt/caxk7abx8//AsUU3obDCWJ8D1WWpPNTAz83Qf89Zf9ITcSXSSSWjHuKnwt/99lxiaV/Fbvbbfpiu+9PYW65UHvJpssZaXm0/ejyN70qfOVDxmvWr7fFF/1kiElSCPOEzqbKPGPTnWWTZV8/6Cjk7PqYARiXOS2W30Iy1PaM9sF7vKpVDYLSv5M6xPTD8tYg9QR/Aej94YmPGTyzr7XhlB4z+SX1GAoz5ZxP2sXIKrPcTyAf8td8JHVj52/8BaxhvQw==###4972:XlxV32DM 3fff 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###4816:XlxV32DM 3fff 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###4668:XlxV32DM 3fff 1224eNqFW03W5CgOvBIYMKL6HHMAbOxl72bVb+4+ZErhNJE4u2rxvXjI/AghhQT513+3Jn+Wv/6ztfwnneGfUEIKdXOuuP7Pn2t4/d29315/m1vl9XeRdpz9397y8cK1vOGZReKv9sXJu7/sd//CReTdvpQ9v3Bw0l749D69/ibJ8T5+kZxm7TxfxtG74z7/Vo7yGm+z8YHRH+PP/Nf1jjcnyx3vhvc+0gv7rRRtb/GtH1far/bF2hd37C9cU3nPN2fV1+nqFEN/qfc00+/qFFef3ax/no8T3/RvOWbyX/N72M/+fbtjb+s73bG951+sv1be+nZRx//C9v1q89l9SrrP5b2gs2Rbh/bH84e9YL+fMPb7yf6SrHlm30s5lvd8nQ7/NX5a/OvvIXXazuthvLrwlpMk26/xu/35mX2zPOuf5b/mT/LQR3XrVD883+jjW06c6Zv2q6/X23q3X/aD/b/sXUqd2deT/OoUx6bza+purvmdbk+z/Yp+KbquMvVXwFgfnyfsz7/NF+uHP4A9AZ+Sz5m9AaMd468hj/7H/OeXP7DxF6f2Hv35xu06z2fT9RY/88ewF+Ave3QjZn/V3Ih5vOzO4455vvgemNd7upbumPWF+QGzvpN9/9H/uF8Y/2O/436jf95/2Au+B2Z7E1nqHbO99rCb7pjtPbtd7pjPz6V/mh/OH74H5vO6SlrumM/7ISNm/7GK32f+BPEa9gXM8VzEnXfM8Tr15jtG/0FyvvcPjP0Tsl9g2Ec1e4N9VDfyBfCh08YHRrundk/t4EvnNX/FzDfQvl98ZOQbl/0bZr6BduDNvafh0naEmb8UUf0tfjtm8hw/WD727dbzrOeR/RXHG5bnePXExxhDv0/+E/v7zV9Hfwx+6bL6d/aXvH6WR39i62F7Yv/F8rBfxrDfJ/4C+f/972/v93354/7kf/7eWvlTnf/rngt413MBH9ZQD9EgkfKYC5yypl/YO8VRTuXSTb+Hb9/prHb5MpwFw9wffAUw94dYAYy97XuvuYc7wh3ffM3gi4Cf1o/20JX6xtu6TOVt72NQMsHye2v1bepO1pk8zmbBfEme9cv65PFYnvtjfZmthG4ry6LGUlYZjGWN8WUsrv+vnVq8x+/NFrIUt7K1Gb5M20JL6Dnbe/tkVZcT9KgXuGLCl6t1erRXp6YvJZtrM6rvtylOsrwxqBpjhOJMRzmG0Rz6fAdzq6Ku56JCovPdpb1d21ak/pJnnAWhSF3lketADRdQZXNdOY3Ur5oriaL6g35YnjHP92n+3lwj7z/GR3sKSF1Bncb1MEb/Hyo/xz206Qc2/y1lP5vPj/2Ld6rQ3dk6s0fGPB5jrL+gVCHhva/9XL7djJzBUgbVB+OcFUe3rTMMquXdvs7WB6oE++T9x/kJdn54/SzP+weq2ETxU7sY9fzRXmfj1+1Qah/LOcPBbUq9QokzzOM9jY/zw+Pv5Uw6P7MXwqxvPu9X6iNIffg8j5jtJV3uH6nZuN+g/sCsb1B3Ieq/ELUHRv+VqHtl6k6pHzD7Q7Rf9kf2COoOfFFfIeouI3VPbqTuwGh31A7M8eKi5m6k3oHaA7UvQtRcRmreZKTmwBzvEN6B2Z9f1JxLIZQ6r5Q691RuSJ2B8X2UMXWOMlLPSqlzpdQZ/hz266lUcfl7+95fVHv0FxgfmP35dT7cmJpulDpvlDpnGVPnTKUgcWPqC4zU9qDUFpjjBegiMPv37/Ok9HDLJczku71ramPtLM/+j+WZ//B5iE77y2HdZ/Jf/v9f5Pk8PrV//MUe7vGR7fE7no7yOZ9m7/Cno71zfGb5p/iH8/nUjvOdUZqNY+kS9lG2cNxLISx/uNDu+mF51jfLX/pytc78wVN8gzzWU81e2d+GdIjGozGdqE/8iOSjle5zlG12XnfRVB7rYXnEb9nWfSb/NT7JW7oSe7qSkNouQ7ayvTNbH+pWvB3ZbNmzUv8a1NQYw5SjZdmHIJRZVYD6Y4ysPFgVijH63yxzfcSCzHQc/yhahtlFqxaMcVSaHQVeD0JlvKpgo3wn5tPvN3KFwLYVqW+FvzLHOuxFiH0zUlhCDVbi6ed44DEN5wh+YVM7cZbG+qR+k/HlF6xkXPX4dv622RWbbm5MVqInjDhy8Va3VSsRndaPHjPR8RhHuxLLF28b8XWFYPhp/ZuM55hxAI8j/VSLG+7U+TCG8YnxTsZdbauVWdYZvuIglWx3KqMAX3FQiFcKeKX6UfAAxN32tF7jrYF4kVBJW7jkLsSLpRyz/QZvYN6M+aL/el2RgMcTb6HxG10ZNLry8UK8ScYS5UK8ayHeVR3xNpfTzN4wfrjscTwvF280fOUdQnmHYT4fbI/czvbO7T2fecd92OtmdYElj1dq0C/3x/JH0joA51nQL4/H8qm0Xe1lzOOg/6/1kvzT+NifCh54Em9EifvrPI/yu5Zlzt2VMDsPX/6L5J/8D87HoumPC2cps/P65V9JnufL8hKUBwWua8DerB3nheW5f5Z/0g/0a8FqfQWrqMGqk6ohWMVXsFqDhNc3796ORPf/fL/wfd/wE69gEhK1GBrM2HOyZNDeexB2Z4JTDDNcnfbXksZKxlD2akUEkMgV91uGdyNxjG/OzZyfGutaxiLbZu8DQOqOUvJwHy5l/9V+yEgiv9qpyIT5s/zT90jCUYQrV1IZrbgk7Rd2drie1r/YnUXNiyV/SYsgp+Jg82WMJLEFtTfGPN/PffGhdyNtsWKo3RMSdjbe5hZdB2GeL4JFpSJDpSJDoWBTqMiQqUgAjGDcKIlvlMQ3SuLblcSP9gZmC1zLVoytDeQO+Hb/PwTTk4ogOK8IxquMRQ7YM+YHjO8bkYVGZOEgsgHM5xXBeKUi4EFFwIPIjBCZErrfX5zOF2QMmO0dZA6Y7R1k8Mn++bywPbM/XLfTklo7L+SPvSUD4rU/lufxWF7ELiHSeP8L++TxWD6KBvtPEWG0p2hkIaR1m8nzfFie/Ruft2hFkyf5yfiDPOub7QH+qVDR7TqvD/4M8tiP6sb3RfAfvF8sj/MrhHF+sZ7D3p+xPOub5a/zfRUd+Xxe7cdMPqRm/rD6mXwKSg6xHyz/vd+jvJGV3MlKsCLHco5cZfmn59b1cpy4HW3LYtW4bYZbsYcDluUxvqqJdNkPjIMObBOVPtHVKgDHMUx0f1djllBxsbVRzK1ISB7ao+jTisNrTMPFTDYfk0xnjA+LoZL13psxj/c0fnea52w+8MnAvB60V0qw0N/1puvqX8+Yt8LXIYRp/SyPrRHzwSxvW1Xub0LS+CYkvPeqhLqbrno2s43v0Sy537SImq1S5K1IDXLOeLfkBv1943G8p/GF4iNjvE+uSS/xouj54vkyvoqgsAXjO91Uyqy/L/wpspbZ9zlp0bpZ0ZrHQ9Gzsu3RJd3p5utFe6H3UpjP5xJrHd5bZ+NL4AfAa9J4gvd0LP+5hFX6xfK8XpY3W6z3Iu6Yi7W3KYZQVwk2RT0mZ491amJW5yIM1Wx0n7nTU8PP8yENTYfl6dg6YO4Pqr7cBEL5dd8x9te9g+jxxP3EKI/nQPup7xdYXlzQ413Uz7A864flV6t7Xs+BWB8lWDHcQjHJc38sb1u53UPVMQtV4JhZNKcNKWrhQuo6w3iTeHFixrYxTxgbdYwFgP0Wqs4wtzl8uppON7Ox7qq3GU6ms87/p/iiyyLtF06WbmYfrCY0Pl8/DD+1l24V96Vj/n2hWk4QraUw5v6e+o/WP2OMB8zrQWhKlE7zfAv1j/V+trLd3UfrW1ngPsoYymrfy+X1vHFv6qpRF8J7jiPofR3j6ymlUV3cf+1idTRzZT1Opik2V7jhPRiN/6HC9lMJpOx2vFj+cz9HoYJ+irOSKwf+pIp5GVJNwzwfXAJ96vajPuA/5fPTFqUAMqaSV6kHdUS6bwdm/fJ6WT8sfzT9qclB97VXXdBKHH6z+1mWJ32z/PUUXvA+YFzv0/iQZ3vg/ZBT2w9Z02w/P6EzxZl8daqPuqU0k1+dvYfxqkCWZ/2yvJ214x6q6+9QncztxLbY058SZjhZCXkPc3yKuinfaprhZlnsGexpIWFsxU5bt9MVFDCeLl2h254uHfQKuzj6VQxerVvVofjsZ/3lphnK52kYyZt+nFEZln/SL+S5P56vbeV5D9VtvK7toTp8QnWyUO1Sqspdapjhq3xAZ34hnwCsE2nuHoplnjWCFfRPCz23afeui1UuWP7p+08ossqGuQtkXZf7sKUke+DvJIU75vncntO12XhoBxan/ZdS1tl4vD6Wl2KVF6FKDbm/89RKOMt/jU/ytlW+b5Xdmng/MnXpNiMfm1ld0PdiZiNJQpniTe8mQvdzM3zYFUZu6zbDn3eSa1J/SeNv0e4VwzHDUPTq4j7Dn/4U83jYSGBT1PJRVIujnyzL69fXFV6l77BeKNorklVKmmF4kU42m9Zwx+/PFt6H9Iwlz3BzyWpM2c3wbop1KcYZ7gpQ71hSmmEoLgoUNc4Xr1iAWR6KBjZFho+XaqOTSsvrScllcLtt0HkF4igzvLqqNYUzhhk+RR/cYV2MU9G8pLpYZ/hV9b5jW8frodJh3rbEYSHL66VSfDnclpRMFbEXOGUkp/60uqtb9JGf19fzy666tLLl6x7D3ef2fyG/Ww0=###4704:XlxV32DM 3fff 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###4752:XlxV32DM 3fff 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###4744:XlxV32DM 3fff 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###4756:XlxV32DM 3fff 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###4608:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 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###4840:XlxV32DM 3fff 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###4812:XlxV32DM 3fff 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###4656:XlxV32DM 3fff 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###4404:XlxV32DM 3fff 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###4820:XlxV32DM 3fff 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###4808:XlxV32DM 3fff 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###4856:XlxV32DM 3fff 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###4672:XlxV32DM 3fff 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###4552:XlxV32DM 3fff 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###4656:XlxV32DM 3fff 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###4692:XlxV32DM 3fff 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###4784:XlxV32DM 3fff 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###4616:XlxV32DM 3fff 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###4632:XlxV32DM 3fff 1200eNqFW0u2pagSnRKISJA1jjcABG1Wr1q1au7PY8RW2QfvvdnItRcBxI/4IMc5999/f/vJBffH/Qn//r22/KdI/Ouftcmf6a//rS39mad/wxzK7tayH39RfHHH35Rz/eDJ+TrCi1vDB6c4tREuec0fXFzwIxxtP2Bj1N+MrpvrGA0Ho+FmtEmYPxNbWz9wrzJtI4yNV+kZAQYjwMbIdDOypW3ECCZ6CdNnYrWNk4RlhKdc0wdnCdsIN9djYyQ8NFLKyHSTy6fJdplPCXxMpwlWmcMIZxdPE4a4TCPc3HzSex+3EV4knnRRYnowOj8ZzR2j8WA0hiIu55NRF84F95zEnX/zPMKLO/9ze5tlhEtSTa0ShzjlGE886/+MIcjiOkHiLUjzbqTxSwNON3JtXs+F3eJGOK9mcpfmEZ69Chxf8CY9NkaXg9FZGa15GTEaJJ2ncpGwnxv7NJ0+5pSBt/EgaqE3emCmxziwMZoORhcw2oefNfwb/PGvYKnJqRcDi22F4zY71e3WctI4oM7AeI3LGbBWm8/4bb8vTKqYSFQeF1IVMOJOcnN5ygOMcSd62hDngFn+t/V4HPPNFHKYIqspREJnCh8PW0wfW2DuTLx5iwSIsZPM+TnO9IwXUXy5jSO3cV+6bKRLSy6bxvhL9t098TVfyBbS2wL8QHfALC9sAYz1Z/OVZusD16a+9nVMjH9xyynA5FV/TI9k602/TA9+NuOH6d/2h/7exi953Ga5ywIt6bsd/57jTM/+wfTMP9O/8t+nxnz4ckRYSZ0vyxH/JBQY5lDMGbDDEQZOQ+8aUBkfjnQaPu+aKhlPeW/P+MbrX4qU3jF4PFHQSBRUgL/2cz1+2w/YFFXuRFFk7hSVDkWlO1EgNTuf5cSzCs74KG5wIv1P+CgX0wjjhOVj4dF8jFc3LSMMD+JxrIdxYFPEeqf2qVPDNH1C36UGb453FCyn2HEO6wiDPvaOWR/6Tn2+y8dG+VM8tqjFntPaLdZm/PoRjnv1avhpHuHN1fOEtTinnzBqPN6/tVZ0PZdGuOQ26wlVel4PxWx0Sxrh5Ko8Ma8HfoBNke221z6ucLBwOKq5Z6iZ9mUd4WKhKq1LGOFoDCxhjJPbN1Wc7meMbo8GK+WfGJ2M0UODyxkDs9aIjBenFs4RxW+PoeGN2omN2omtd839YLQYo5Pvz8AcPo3NctS3UefsklerP8+osKXiRrj66LWgzttz3KeyPcezlHM9kTlqoRxPvKzI08sywptFpfVYYISr0xasRFk0rGvY/rSX5y7ZqXOIbFpfO+tkxCu/PZ79lPvxHkOe2Ul7NhLAGN+lyHMcGPX9QTk963tgzE/W2WE+MOYDY/49rvLUy349Zv0wfczQf8mj/djezC/od6lxJC/7A+sLWMxerG+2N9vrN3uz/0lQf5pFJuuUNQsGqSN++HwwPa/H9DzO5wV1UWpVRvo80oPOd+syouf1mL4YfYlrGNn7N/q1adAsrvihP1mWT0nSiJ7lZ3qNVfPn1spPGqxy7uuW8AlW8ZOz0fUfzmHFqzrHUXSps5swxdlhwHVG1NsIng8M+jfsTDnJ623C0XcO92OM65Toyzlf2qTXLrJo4Lb7JFmTH+E3foGZHwSv/QquU9CEopcJjHGYVqfGYf7Wz43R6VzJj9ZnesZ+VzxJ3ke4mTNMHvbRwwz5cJjF9cEa/ALLiz5wmKNIG9kD497pYdhM/4ePa6nq9T5PJJcRvxd/Ns7yV+9X1Veaflp/u/yl9+eHvKrP1S9aQiE56fq/6WcSvbtie4J/XDaxvyMYebHkRefpDpYqP58fzP9Nf9tF32Mks+1lPSRDYOYHyRT4Sx7pMesD+0c6L9AnzgMw22MRvUEf2Gd7zgdmf1gkpCdmf8L6wOyP4P/WT+/PWJ/1h/MA/QHzebqvhxXzeYR88nKeL/4Ms39yPPiKL3QeNqfjV/FI582Bn7hsY/o+vjG9JSv/LKz7W2Nfzou1o7BG4TrnVQshS9TTrokahUuxQqWJno0ctNCppou2ZysItD3b4ipqM7Vl9nlIz5j3m8Vu2C2RM79vuORaVTfBCi9TLfHDmPf74sd8Y33Rx3V2MJ718rNR4ZhROJK+r8LSCh+W576It1hA/GJ+ReFL45hfr080vb0gn5dcRxhn4R7v10OsqlSYV2ocKhXmkRqPSIU59HE1NtKf9UyNDzDb44plL/aEfOuL/7E97axNz8JQ6OucD59+O4eCLmFvYovoVdaaV63WswaKIBrIEWhmCzT1urtK24iex5MFJgRuxpdxXW4/4ZUSX6XAWClxVAqs1fVdaqbADHwFbgrs8Qrs9iGTEkOVry6zUJdZnonpc0n2TEzAWH9y6txYHxjy7ZQY9ysx6vqVEivrZ6HEDAz+MyX2zF/hqDAAvg4bFRaVCotKhQnrD/Ji/1v+sT9gXDK6yv5WAeu7PNdRV41x2fFlrYaRvHweWF/s/6xvHmd78flke/N5ZX/5Oo/kb6/nCYXny/q/6e86H/hSl/rG4jpfL/q55efx/ny7ZvxdX5G1UcNXYyTbJPr9nulburrsfcTvnC3ZvdDz+kyP9STprQDr621/0H+Nkz+wvKwvns/+/DVO58WSSeiSyd4nk3DeMvhwtIbT2UXGlvLzcNR9jGHMJEmvwJqzqxkEMxVGklYyKamwVxdgwm4NlViPeb0vbPsXXLFczk/JhK48K2XuSleehTJ/oSvPSJVDlD6ZNNcnk0ZXls31yaS5PpnMrk8mwFdwEEomQslEKJkIJROhZEL6sZx+zQe+gqNQMpE+mSxUeS1UeTWq3BpVbo0qP9bfRJXjhdl/iH6xygtX+rzfnuzZxdV19vwm6/ITJRPI93UePOsH9kj7WN/9eWF78flhe7N8X/5C55v97U1/t73G6/+mP5wPjIsbn7c3/VSqrN/OO5LDocA6Wg/BPkpaRvSQL6Y05JfnMz2v51f90Ctmr6/5ZA+ez/ZG8N+dBvcvfzR+pqRdP9MzP0zP8rI9133anreY7A8sH9NbMpq7K2/30tngIVutJdrLP72abtkytn0DbKV7+Ab6tOqpCk43T2JXKlSJNOo8GlXWjTqPxs5LnUehzmOhzmOhzqNR59Gocm7UeTTqPGbqPGbqPAJ1HoE6j4+bPOUDxvqNOg/WT6LOI1HnUajzKNR5LNR5LNR5NOo8GnUejToP1l+gzgOY/YPp2b94P/ZH5hdXwoGThcmHK75NljjSF4LDGvvKGvrlcbZXseCRKFnAvruydfDfdwaQH9dQ+CTD/vamv0s/L+tjnM8rnxeML7XvPK7z9qIfyP/becc1YUlxHtnjDmaxjuhzsGBtNxvMD89nemfBebLKneXdYrDrxriN6Hl9puf92B7HLLOvxVOyJ8vL9Kwv9hfWB/sjy8Pn5Us/dB4tmTweMpepjt6w3wdfqwbnnTLszFCE4SiOql53VWk9NkaWJyPLmBH1UDCCj0LVW69HGBvf5VCPwQiwMZIeD7Om/mHWcjCy3IxEaASXRl7yCONoRarTPt8b9cZ7Kk/M9I/99BN+nHZ7arCM1mP+mN4ElafGw09v2N3VQM5gtI7wat35UbEP8f0Zqf9sdb2ZuD4rdVe5+cno8FcD9x22BT+xZOJLGOFVggaLtG4jvNmLxjX2wReV38UoOvFf6E2QxxvLtrfRG0u8OklHE3E+lrDfY7i8LSMsduqr7OsI48N2qa57iAB8fbgTt47wEQ3DEyMLZ9mqvrZVfhmDf6Y3Ray3IraQfv75hOu/GFvYeBtfSBCmB2Z6CApsjNbb9byf0sj37sJMzsd3zWk8DEmfqzLenCaC6Lc0wpPoQ5nQtjDE9t43uraNMN7/Apskn2eSyVRe/Muj/u16XL9OT/N9stZP+Mi5iz0Ot5uncuqiHJbUpOn1JSXh0OxXPpb8GF/f4hJuWnq82A8ZkscvzHp8f4uaYv9ITfm9H8UZ/yTPfR2vmNeD1/D64vTN9fXOwPB1HI6A+RwHjk4ft6c9tZE+q/0GJ4U4jejPskLfW9QRPdarMcmTH/D3tj/o4R+fV3kjeX6jf/OvS/8kL9ObL2/3b4Xa1qfo7fyt0HwnaRxLJMFwlCkj/Kh/nb2J1YCRymK/GdLn96uyxjhZP5PjOo3wHVn15wTXE4KY02i/+zMuuaLrXRHrXU8uDN+fWbOqXnr8LS9h4u9tPej3i95MedTL60ge1g/Tm6k/b5FXM3Xry8Ipnm+Rz6zp7OtBOmU/Kl58VXUjXC28+KS2Z/y4WDx5czu+IhX9hcH1nKaU5/h2FGgj+rf5xebX7GfrDdef1gPG/KPAO4uClrWnWHV4x689gVkeFJzAV2V89M46rvoE5v0Ok7cnRgr6PCF4piBglhfzL/lZnzYODPkWt2jVYz8SBYZ8QZp/+iYw+wfrm8dZH/hwE1LOI3nZPl/0PlszaSma7LU1/VHrXDcZ0Qe7KMyrjjN9EE0/GGd68LdLayN6jB9lehjRv/kz6KeU7adQag+2V2h6wzlJXEb0RxDQxkbG9N5pM17W5Eb0bD+mv786133kL7Jrb12ljelNXx+Lj+hZH0yvsSy65+/F559//RxPRR9lFt7J+REOwRRp9ckbXo1Rxu3/rKSjDg==###4656:XlxV32DM 3fff 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###4692:XlxV32DM 3fff 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###4720:XlxV32DM 3fff 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###4672:XlxV32DM 3fff 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###4716:XlxV32DM 3fff 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###4672:XlxV32DM 3fff 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###4668:XlxV32DM 3fff 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###4504:XlxV32DM 3fff 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###4280:XlxV32DM 3fff 10a0eNqFm0myJrcNhK9UIMCpdRqOS+28cvjuZkh26EuForuXL7uqMBFIAPzbj/Tbf373vdKP74f9+/e5+4/83d/+NXf7H3T2X1Dph9AthPjUSYnQEmgS2oRyJfQRKh8hI9QWoEYJT8+ERMJlfGETaBDqhFTlItAlVAFdUbkNQh6EJiGxRmsC0SmNEt7W4MpNa9xuhGiNOzch+uuuQoj+updhsz+BJiH4K74EvfI6hPwjdAnlxRc2QiUT6oRU5SLQIFQJicprCHQJTUJijSUSXjplUUJLDmhegajy+ghlvnBuQoUqT5rXGr086RTrVHkmQpIchki46OUpEl56eVLl9NHLk+ZNovKkU5LTy5PmTaLymALRy2MREmsMkbDTKUMknIepkoZKiyp/Tujyhd8nEFX+6BRP8HLcIORGKBNicohLCb0UQpTQeyK0BJqEGGxOlePSUL4/QnSKU+U4DNF3zgkx2EKscaZAhxCFj9zpSlo+igQ2LR+NXu4iYaeXu0i46OXuAtHLna4MSQ4sbfHCgRBdmROjt9Py2XmWOw2VqXKw+D6IZ5lV75mQKjd5avAss/jGO74/7EF//OX78y+UudFN+YhzRdPLUD+0ajGG+qFzS2KoH1q1ZLgpdgjEUN88VqUx1DclfFSFkEg4GeosrFEWQ52FNYqovIdAgxCdW0VlKaw1XUIM2poZEmsJRKcsSlgbbbhcIKq8aN465YV0SiUfi0XzVlLQmHRKJR+LyfreSEFjukD08qSEjaQrpLA2UtCQwtpE5UmnNFLQmDRvE5WlsDZS0JDC2sQaQyRklYlBCbsxQw6q3MnHYtC8XU7KSAJR5UHzdlLQ9x8JkY8F+XN0JoeQ5NnJx0KSZ7+S0ERlUtCQRD1EZcmQgxQ02Lk801DlJi8kBQ12LjHEGqTxD6JTSONjTJQt12+Rj7l+6xxC9NcgH3Mx7yQFdbHhJB9zseFkcnCpnpN8zCVsJkmXSwBMUlBnA/WOjajcBZqEmCqnqCyBvUhBfVCMJdYYTSA6RRjjyujjXGrKIh9zqSmr8YXSgyzyMZd+Z5GCuhTERT7mR8Rgcnj9tED08qEYm6TLpdxsUlCX0rZVZUbUJgV1NqGxReUzBKKXhftttQbz4V50ivDMfVgQiwhPPhaFLzwpCF2BmL4qT+UhBY1Kfx3hY5X+Oo0ppRaBmNkq/XUmJYwhENNX0IZH2FeIykJFgja8wr4yM8AVKpKZAW7QGjkEolMyT8qtKIhJmMMlFUnCHO64hORbpCJpybdOEGoCbULIAI+qf4SmQIXQIhQwb2LYPIgqVyNU+UJG1IOoMiMqf2MSqoQYNqk2QrsSGgLRGpUq28e8wTY5mzFvsE3O5swbXyYUzBtfIVSZzL8ukIhB4U1Syken2BAJNyH2cYnNWradCVHlxD4ukcA8iF4mWcqJfVziiPJB9DILYk5MKYnc5kGMeXKbLBOPlFwgqpxE+MUXJjolbaqcGGzpMmwSnfIcS4jCO4tvSlsgWiMdQpnmNQrvhSobLf8IFyEK750qG53iLDfJRPhFlY2W98u8YSI8u5tkKDc5Es3LQv8gqnyoV5DPJxb6B9HLh3oFGWxi8c3RRQzqFZJSWHwfJBKKXoeHiOOaBx1CPHrvxBJi9GbSucTGJ2eWmzRojUxym0gCc67MopwNvodoDc4hcx4wr7mIMTMh+isfIyQSnkGIEhaWG/Mp0CVEf5VIhI5AjRD9VSrNGyYQIyqYD8ugeYPWKJM2DFqjSLkJUfnwpATzYf2YN0h7HsQACFqjOiOqUK8aVLlQr1r4wkK9KitRKtSrDp6U0gSiykX04nw1FdFr0xokt7lJJfIkEFV2HqImlUhCtEklcpaAxolicgrfKlV2HqLGYWOSEG2d1nCWgCaV6IrwUok4Rc9NKtGl8F0q0eXR684Ky6H3g6gyh965Z6YvTtFzL7TG5dHrrERG3ps7K5GR9+bOSmTkvQ/ahOjKfjshxuH4mByE947E9CW8d3DOZsJ7B/tlE94rYxYT3iuzFBPeO1iJTHjv4GTJhPcOTpZMeO84tIbw3sHm0YT3TlYik5QiYxZjk5UnK5EFE6yMWSzTULPRhtkFospssvKcH6EiEK2RaajJSiRnaLIQcRGVF+uQnKDFMnRp9RUIGTk/MmHhfiqviowhp2dVmOHSrGuIWeUhViDL8hQrkElVWKxAJlVhGw+PpP5tPCGFztjOYyBVYbNpNKkKu/ApaUJ25UHlBDBvNjwmTcgeDOguErLMmDQhezOgOZXLR1KJNCGHTaNxO5WP4/Bwm/MQaMzFUT5sd4Qinwp9hSEflhhhwWdA20Nlz0KmEHp8eFtA2PHhGscSxb4f84S0YpeNjiUKfp22k1bssrxY6gIxoKUVu1xqWVoC0fHSil3uNEza9Mudhn3MB5eNjkkHf3mtxtjBl0/KC9v08vFajX2HEDc8Zp9AtIYZIVYeTyIGK4+zZy0fK48nkZCVx5NIyJ2Gu4jBnYaTvhXjhsfZYRTj2NJJ34qx8niVF8qAn8W2GCuPczZZ5I6Js9gWk50Gy2aROyZeFyF2hF6PQLRGRaYuibXHSY4eRJW5uiyJ1cdJjkqSAf+iyqlx/kRy9CCqzJtEJbEjdJKjkiatwb1rSaxA8YnwrEDxMQCcFSh4Kh/EWTJPZfHglkyOnmduyT660tkRhpxKrxz9yqn0AaixvD8IKrctek3nU/Itji0ba/+D8MLOyzjlycunaKjg2LJx//8g+RZtGGwI2mVsBKt4YxtRgvWpHYUyxRDhOZloLEQl+iVEQ8XgCzmnKbEFCoHor6VPybd4iDJLW+P86UF8IStvyS6x8QlEf8khyiGxwSyai/jLBZIAoMq5SxxS5Uz+1OSY58Fvcd9V8hJ/iYRbAoDBVj6JwyYQ/bWqQPwW70iWQlLRuOIrxSXYaN4ityHCBeIx53SnFLkoETxfRS6ghQgvZbTJC6WMNgZbObJClxeygXPu5EtlA+e8ylGqbIZ5laNU2U7wbsiDmOd5wbNUNi6JF1tKZU1JvNhS6uD8aYrwzKKJly5L3axfkmAr86FLFm2yJ5cs2j4pNzyVjZvhlJtAHDJlSthkQJJp+dZJ5458i1MQsVPrhw/xeLVJUil5qPFWlkkeahxAm6TeJgSW151LN84ReDnsQRRDKluX5Z+cPLmUk+S8dtkLispdprFiws4UZbyGUroMC3hzvfTNNoU3/x5ElXl5pQymeeM4/kEIQzldw/gpXuQog7M440WpB1EtTuPLkB1ZSwJxgtfoLrmukyTXDAZoagzDIbM4qSiDQ0uVfTHUrnxKhk9yWsdl7HKuUmaiI3kb7kF0CW/DlcncZXK6ZhbD8whN8hDnYq3MZn/dCbU//sILpJ5ohElm4tynvYKQSeGSQGQLW2QmM+lCnheZSefm+UGdEF+4GH1CCRfznBx6uQ4k4i0uJHkZqCxOgZ0z8bKmdIQ8a4u9uAdNuw7rcBF1GUTBkVTZJjdjmkC8ysaRVNm8Q2ZJGq4dOBzyUINlpzSEmxdj7p//mH02f9gxpbjvvn/+KBuvyT39g37xVU43JheXD/rFVw+/OkTX84uvcow0hQvs+/OvHvaEU7xy7OdfPdwwz6XQL77KjDJDofvzRwtCbGbWIpnn/dOjnGVNCffDiw//9Cj9PrmoeFD76aOXHeOU3u/yAuqScdKlcf/prWx5lljwkhksmYfcXH7+VuYec+7Wyq2yhZYcc6sslLkALleWEC49wuVJ5LWMcodEiHyMuQ6xXj9n9mG2qB8zTHDoVD8STnNKUb8SWqjq12QeyrL2MBmI6puEXSWuGh4m41fuGqr80szkQln9WP5MbpTV78p8c4qdrgw4WbWrCRkVHlBNdnxCH6rJMiKZQKL6klcWMRk3FQ+TitHlc7z7aE0gWQE2eSM3W877Nw9if2ab0OZMnbcKHkQxeM+ymiRe2iORZPCHWTXRwMG26EEc0DHtVRlXmnGsUxMndA9j2KUqmMooWyJTKbtiIiar7sNETpr4YXRa4uTXhB/XdBTLgkmYc1RX/VOsCqay8Hh40oRASBYKHLxXD0lzVM6zrHI4UK5OQwevQDyILucFnurMm8E0XZ1zgeAFnupsauuVF3IIVTkXqC6/NCF/rC6/NGHWr0FeceXQhMk9Iu5DakgjJ2uUh/09GQfLWZWELxfZapVvVFmRiGiy0RBvxKBk0lU/TLIY2+oaMkkwrgweJidXAiOOJGnuLmrQ7ib3QWr+pEmgAbNpvfj/gfgvr3UOyQ==###4220:XlxV32DM 3fff 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###3216:XlxV32DM 3fff c78eNrlm0tuJDkOhi8zB5BI6pXeNKa3fQk9lwX0YlZG3X1+yg6bdoSBwVQD7kbUQpUQkyHGJ4kPKe0e/vk/beQHPf380ULPD/ehZyW/e360UR498tObqFPid5F3LhlZ6vVdloqVDGck1Uhyiu+SNo2khGAkNIyo1v4uKmQkoxvzanyXDL/M47rLRkSUjCgMKwpkzStWVA2kKc6IOJmxygdJt0pFjCj4YqywkEZc2UL33shSN2pt2VdOS8wTpRlRrtmK3iXTp2HGYivpzhpo5mpKs9irlSxjXrU6gQyKZk0IdnpzTlY0zFSt1a1oLatlpmrG2K3WsKI2rFYwohwMPrYkip3f7u37lmohxWVE1S8ralbU7FpfZsXMkeXD3BvZ8mSeWKqVhA/zG6woR2v8sqLa7AyLFY1PTgI95VMPeTr18Oce6aee8bnn1ULbkz73NGNqtVD4w7qiD6Jo542sJJtlUO2L84epsYx5WB2zFJc4o1OzldRqvZCVdOvTrAVhsl2ixmx1BZ/AaFc+dQV/7qJTV27nrn7q6uHcFT93HTHlCBPTGh3X+LCkzct676z/6WwVvZyMRhefuk5Go2ucuk5Go+szTB+cO3d9hIl/v/32+7PnxO4xaXpeK6Pr38+Rn9vY5j0GXKkTdagu4jNx0M/isrYStE27p5K2mHG0cGgeX9TvhK79YfdEbk9/thGefj63vh3Ag5w+U1uMssfCjGtLu427Tbttu+3bkv39uL8Zw273N2Pd7f5m2tK0dVPe7dytWuLy1spbK+9n5j162f1l9xd9L9q6goCEt/D6hLCtDV3HiuPtjYboHNADVsG9AB68HxYc5gr9WFPoxA7CQsUcY07nw4GAxyR5zIr6H/U4HkoeWh5qukF0R2h09VD10PVQ9tCGIU6dMkxx6jUI+gR9gj5Bn6CvuQFBn6BP0CfoE/QJ+gR9dcGYeMfQZ+gz9Bn6DH2GPkOfoc/6OtBn6DP0GfoMfYa+QF+gL9AXfWfoY1E4gb7yEOhj8TiBvkBffah6TYG+QD9AX7e4bg/dDxq4NHvCxOu0Ow0GWHAuQB8LQKffBegH6AfoYyHoMnCRnv41MFDihKnoTfewPLAWHT56NxWDfiM/0mr6IT6S0NMfA8bS8x+aivDzn6nnpx9D3wX/qWlPP78Sed0+GKgcA3lMjd/B6zwQ//pAXd4G0sXpNWE9DyR/wUDrbaA19968Gij8+kCj7oGwx0g3LxXsMFJnQUv3195qojsz6Uarl2Djixn5/zTjKxF9LeKvRfK1KHwtil+L0guoGTYodWRNG+SlXrAZvSDgeGnwZ9uzn/GkFzzxH4BHX3S5Y+kF+Dgf1EmcX8r/+tJbmi4EXXoBOaoPTT9NLLgIH+ojNrGPGg6iLse40psZA1H9xQxk6fggyIbT32IN+q/H8l+P5b8ey7+NBWJDw9gLsYSw4xP8tAcDNA3Y0gK2jFjjM5yzz4UuiBW6FTGN6i/EcoMDyxPYCsKzL5pAlQxsBSW/L6iQfd0x+USs3YlYb/UgVpEC+JqBrap3q5p8NaQxviHu+1aArfV8Razfi9ibH2saMzsyPxQ5wNYzsGnO4LtGi4F0z4/IV8TGvYj1g9hAzehHx/PGAraJDNlPpJR+aiIy8RQ/17wiNu9FbBzEFooKv7QMWwUPXSin/VoVJRwqCXJR0JRyRWzdi9h8JUaua4G74oM8ii/yqFYI/h8N0ncCvAeRVlwnYtXdi9g6iBEKPKICbNSBjZCpEaNIJT0AIy7AxlqhnIile+3KfaC9iTHSUxLU9SQohElQHZJ0YNMDIAoo5inEepXB+nsRO/IxCkhPsc6ALSxgiwxsESUoxQJsceBpSU9uzsToXsToIJaQnlKKwJYKsKUObBklJ2UGthyBLevtwZkY34sYH8SynrAWB2wF36KSgK1UYCsT2KoHtqrnYWdi9V7EwkGsJkZTga0OYGse2JoAW0vA1hqwtRmviMm9iB2VOHU/0QRg6xnYNFOjjjKTBspMGigzaeSrDDbfq67cdyOb2GjYd2PCXU2C5kSZSRNlJk1kIDRRZtKiqww236uu3Fdgm9gK2HcrA9tCsUkLZSbrBQPriTw7lJns+lUGW27m+csrMXazP9iTRxMiGpSZrL8/YP0ZBxPKTKZwlcHmcC9ix2kP6xU5EypzpgVsjDKTGWUmM8pMZoQI5nWZwd7r7KIfpz0sRGgCsOmNMQtyWxaUmRxQZnJAmcmhXGWw5Wax8jjtwXIaaBawRQK2iDKTI8pMjoinHFFm7kvwizUW70XsOO3hFDKaDGwJhQAnlJmcUWZyRpnJGWUm536VwZab+bHjtIfz4gcXArYSgK2gzOSC5IMLykyuKDO5xssMNt2K2DjOLrgiPeWKqonrAraGMpMbykxuKDO5YftyNzebhti9TnvGcXbBnbQJwNYLsGmmxn0B22BgGxHYRulXu/JefmwcZxc8kJ7yWPg0CdhmBLZZgG3C1/FywLb48oY334vYcXbBC+kprwJsSzXWmg/RHweJixlNGWjG1Q1vvtc5/5BXYuKQnor+pFV8FDQFfR6BQcgBGzGwUby84b3XOf84TnuEkJ4KoQYQ1JQPYQY2JGRoCrDBmz1Ef2J4QexmsfI47RFBeioSgU0KsEkHtuCALTCkIQJbqJc3vPc65x/HaY+EpogWEEVETaADooioKRFRU/SnvJL48pcqN/P8x2mPpICVBTeOBlFTEqKmZERNyYiakhE1UW9e+rFyL2LHaY/khQ1ZCNgKoqYURE0p0JWiUbNq1Kzx0o/d65x/HGcXUgv8WO3AVjVqNo2aTaNm06jZNGp2d+XH8s1i5XF2IZ0amghsXaOmnpzB+wPb0Kg5NGqOcnXOn26Wj72cXQDR6BHNArapUXPGq7Ow+PmcQv4qOv/Te26L52HxzIhGegshegsh17cQMX6/xeuwWG8GRG8GRG8GRG8GLixO327xdK8WB/1jiqB/fRBcJjTtquqL7fst9m8WA3fwXtBIQZOuztti/X6L6bDYIwUN+hd3gRxAk1zlVzF/v8V8WEyxoikATSjoArvLnTe/32I5LGb2aGJEUxqacbnz1vdbHN8sXlgQQlgQyMfRlKsbw0Tfb3E6LEYigmYBNBYImnC588r3W5wPi0PGzgsNoMME6EhXOy99fwSZ5bA4CnZeTFgQsWHnxXm58z7cvP8XwNrWgg==###4148:XlxV32DM 2d4b 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 \ No newline at end of file
trunk/sys9080_guide.ncd Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/sys9080_iterationlimit.srp =================================================================== --- trunk/sys9080_iterationlimit.srp (nonexistent) +++ trunk/sys9080_iterationlimit.srp (revision 6) @@ -0,0 +1,6004 @@ +Release 14.7 - xst P.20131013 (nt) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.12 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.13 secs + +--> + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.13 secs + +--> +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) Design Hierarchy Analysis + 4) HDL Analysis + 5) HDL Synthesis + 5.1) HDL Synthesis Report + 6) Advanced HDL Synthesis + 6.1) Advanced HDL Synthesis Report + 7) Low Level Synthesis + 8) Partition Report + 9) Final Report + 9.1) Device utilization summary + 9.2) Partition Resource Summary + 9.3) TIMING REPORT + + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "sys9080.prj" +Input Format : mixed +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "sys9080" +Output Format : NGC +Target Device : xc3s200a-4-vq100 + +---- Source Options +Top Module Name : sys9080 +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +FSM Style : LUT +RAM Extraction : Yes +RAM Style : Auto +ROM Extraction : Yes +Mux Style : Auto +Decoder Extraction : YES +Priority Encoder Extraction : Yes +Shift Register Extraction : YES +Logical Shifter Extraction : YES +XOR Collapsing : YES +ROM Style : Auto +Mux Extraction : Yes +Resource Sharing : YES +Asynchronous To Synchronous : NO +Multiplier Style : Auto +Automatic Register Balancing : No + +---- Target Options +Add IO Buffers : YES +Global Maximum Fanout : 100000 +Add Generic Clock Buffer(BUFG) : 24 +Register Duplication : YES +Slice Packing : YES +Optimize Instantiated Primitives : NO +Use Clock Enable : Yes +Use Synchronous Set : Yes +Use Synchronous Reset : Yes +Pack IO Registers into IOBs : Auto +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : No +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Global Optimization : AllClockNets +Read Cores : YES +Write Timing Constraints : NO +Cross Clock Analysis : NO +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Slice Utilization Ratio : 100 +BRAM Utilization Ratio : 100 +Verilog 2001 : YES +Auto BRAM Packing : NO +Slice Utilization Ratio Delta : 5 + +---- Other Options +loop_iteration_limit : 8192 + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/mnemonics.vhd" in Library work. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/ureg.vhd" in Library work. +Architecture archureg of Entity ureg is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901_comps.vhd" in Library work. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/ram_regs.vhd" in Library work. +Architecture ram_regs of Entity ram_regs is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/q_reg.vhd" in Library work. +Architecture q_reg of Entity q_reg is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/src_op.vhd" in Library work. +Architecture src_op of Entity src_op is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/alu.vhd" in Library work. +Architecture alu of Entity alu is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/out_mux.vhd" in Library work. +Architecture out_mux of Entity out_mux is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS377.vhd" in Library work. +Architecture behavioral of Entity am25ls377 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" in Library work. +Architecture behavioral of Entity rom256x12 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2909.vhd" in Library work. +Architecture rtl of Entity am2909 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/Am2922.vhd" in Library work. +Architecture behavioral of Entity am2922 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom32x8.vhd" in Library work. +Architecture behavioral of Entity rom32x8 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" in Library work. +Architecture behavioral of Entity rom512x56 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS374.vhd" in Library work. +Architecture behavioral of Entity am25ls374 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS157.vhd" in Library work. +Architecture behavioral of Entity am25ls157 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS153.vhd" in Library work. +Architecture behavioral of Entity am25ls153 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" in Library work. +Architecture am2901 of Entity am2901 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS257.vhd" in Library work. +Architecture behavioral of Entity am25ls257 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am82S62.vhd" in Library work. +Architecture behavioral of Entity am82s62 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/Am2920.vhd" in Library work. +Architecture behavioral of Entity am2920 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/Am2918.vhd" in Library work. +Architecture behavioral of Entity am2918 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25139.vhd" in Library work. +Architecture behavioral of Entity am25139 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/debouncer.vhd" in Library work. +Architecture behavioral of Entity debouncer is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/mux16to4.vhd" in Library work. +Architecture behavioral of Entity mux16to4 is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/nibble2sevenseg.vhd" in Library work. +Architecture behavioral of Entity nibble2sevenseg is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/fourdigitsevensegled.vhd" in Library work. +Architecture structural of Entity fourdigitsevensegled is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/clock_divider.vhd" in Library work. +Architecture rtl of Entity clock_divider is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/counter16bit.vhd" in Library work. +Architecture behavioral of Entity counter16bit is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/debouncer8channel.vhd" in Library work. +Architecture behavioral of Entity debouncer8channel is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/clocksinglestepper.vhd" in Library work. +Architecture behavioral of Entity clocksinglestepper is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/simpledevice.vhd" in Library work. +Architecture behavioral of Entity simpledevice is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/hexfilerom.vhd" in Library work. +Architecture behavioral of Entity hexfilerom is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" in Library work. +Architecture structural of Entity am9080a is up to date. +Compiling vhdl file "C:/Users/zoltanp/Documents/HexCalc/Sys9080/sys9080.vhd" in Library work. +Architecture structural of Entity sys9080 is up to date. + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ) with generics. + address_size = 8 + default_value = "01110110" + filename = "./zout/test2.hex" + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing Entity in library (Architecture ). +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/sys9080.vhd" line 343: Unconnected output port 'WAITOUT' of component 'Am9080a'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/sys9080.vhd" line 343: Unconnected output port 'nINTA' of component 'Am9080a'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/sys9080.vhd" line 343: Unconnected output port 'HLDA' of component 'Am9080a'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/sys9080.vhd" line 343: Unconnected output port 'INTE' of component 'Am9080a'. +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +WARNING:Xst:819 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/simpledevice.vhd" line 68: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: + , +Entity analyzed. Unit generated. + +Analyzing generic Entity in library (Architecture ). + address_size = 8 + default_value = "01110110" + filename = "./zout/test2.hex" +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 387: Unconnected output port 'C4' of component 'Am2909'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 645: Unconnected output port 'g_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 645: Unconnected output port 'p_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 645: Unconnected output port 'ovr' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 645: Unconnected output port 'f3' of component 'am2901'. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 46: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 47: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 48: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 49: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 50: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 51: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 671: Unconnected output port 'g_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 671: Unconnected output port 'p_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 671: Unconnected output port 'ovr' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 671: Unconnected output port 'f3' of component 'am2901'. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 46: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 47: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 48: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 49: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 50: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 51: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 698: Unconnected output port 'g_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 698: Unconnected output port 'p_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 698: Unconnected output port 'ovr' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 698: Unconnected output port 'f3' of component 'am2901'. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 46: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 47: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 48: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 49: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 50: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 51: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 722: Unconnected output port 'g_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 722: Unconnected output port 'p_bar' of component 'am2901'. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 722: Unconnected output port 'ovr' of component 'am2901'. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 46: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 47: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 48: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 49: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 50: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 51: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 808: Unconnected output port 'odd' of component 'Am82S62'. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 38: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 38: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +WARNING:Xst:753 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd" line 963: Unconnected output port 'out2' of component 'Am25LS153'. +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 87: report: init_bytememory(): initialized 256 bytes of memory to 134 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 89: report: init_bytememory(): loading memory from file ./prom/mapper.mif +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 1 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 2 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 3 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 4 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 4 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 5 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 5 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 6 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 6 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 7 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 7 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 8 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 8 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 9 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 10 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 10 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 11 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 11 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 12 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 13 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 14 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 14 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 15 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 16 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 16 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 17 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 17 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 18 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 18 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 19 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 19 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 20 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 21 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 21 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 22 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 22 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 23 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 23 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 24 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 24 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 25 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 26 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 26 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 27 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 27 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 28 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 28 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 29 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 30 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 30 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 31 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 32 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 32 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 33 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 34 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 34 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 35 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 35 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 36 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 37 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 37 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 38 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 38 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 39 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 39 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 40 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 40 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 41 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 42 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 42 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 43 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 44 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 45 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 46 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 46 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 47 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 48 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 48 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 49 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 50 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 50 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 51 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 52 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 53 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 53 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 54 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 54 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 55 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 55 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 56 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 56 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 57 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 58 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 58 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 59 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 59 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 60 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 61 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 62 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 62 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 63 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 64 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 64 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 65 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 66 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 66 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 67 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 68 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 68 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 69 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 69 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 70 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 70 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 71 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 71 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 72 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 72 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 73 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 73 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 74 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 74 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 75 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 75 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 76 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 76 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 77 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 77 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 78 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 78 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 79 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 79 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 80 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 80 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 81 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 81 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 82 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 82 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 83 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 83 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 84 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 84 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 85 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 85 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 86 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 86 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 87 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 87 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 88 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 88 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 89 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 89 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 90 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 90 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 91 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 91 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 92 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 92 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 93 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 93 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 94 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 94 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 95 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 95 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 96 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 96 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 97 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 97 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 98 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 98 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 99 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 99 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 100 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 100 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 101 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 101 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 102 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 102 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 103 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 103 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 104 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 104 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 105 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 105 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 106 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 106 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 107 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 107 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 108 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 108 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 109 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 109 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 110 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 110 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 111 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 111 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 112 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 112 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 113 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 113 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 114 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 114 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 115 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 115 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 116 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 116 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 117 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 117 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 118 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 118 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 119 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 119 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 120 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 120 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 121 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 121 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 122 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 122 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 123 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 123 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 124 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 124 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 125 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 125 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 126 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 126 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 127 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 127 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 128 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 128 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 129 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 129 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 130 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 130 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 131 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 131 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 132 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 133 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 134 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 135 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 136 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 137 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 138 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 139 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 140 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 141 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 142 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 143 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 144 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 145 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 146 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 147 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 148 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 148 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 149 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 149 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 150 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 150 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 151 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 151 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 152 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 152 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 153 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 153 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 154 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 154 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 155 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 155 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 156 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 156 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 157 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 157 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 158 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 158 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 159 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 159 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 160 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 160 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 161 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 161 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 162 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 162 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 163 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 163 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 164 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 165 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 166 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 167 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 168 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 168 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 169 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 169 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 170 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 171 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 172 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 172 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 173 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 173 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 174 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 174 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 175 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 175 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 176 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 176 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 177 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 177 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 178 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 178 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 179 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 179 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 180 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 180 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 181 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 181 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 182 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 182 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 183 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 183 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 184 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 184 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 185 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 185 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 186 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 187 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 188 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 189 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 190 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 191 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 192 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 193 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 194 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 195 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 196 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 196 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 197 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 197 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 198 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 198 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 199 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 199 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 200 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 201 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 201 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 202 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 203 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 203 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 204 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 204 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 205 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 205 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 206 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 206 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 207 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 208 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 209 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 210 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 211 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 211 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 212 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 212 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 213 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 213 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 214 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 214 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 215 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 215 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 216 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 217 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 217 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 218 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 218 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 219 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 219 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 220 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 220 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 221 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 222 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 222 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 223 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 223 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 224 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 225 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 226 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 226 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 227 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 227 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 228 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 228 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 229 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 229 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 230 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 230 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 231 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 231 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 232 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 233 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 233 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 234 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 235 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 235 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 236 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 236 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 237 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 237 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 238 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 238 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 239 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 239 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 240 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 241 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 242 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 242 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 243 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 243 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 244 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 244 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 245 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 245 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 246 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 246 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 247 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 248 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 249 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 249 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 250 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 250 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 251 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 251 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 252 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 252 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 253 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 253 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 254 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 254 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 255 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 256 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 257 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 258 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 130: note: init_wordmemory(): too many bytes specified in line +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 259 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 118: report: init_wordmemory(): address parsed +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 127: report: init_wordmemory(): word 0 set. +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 135: note: init_wordmemory(): unexpected char in line 259 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 260 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 261 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 262 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 263 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 264 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 265 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 93: report: init_mem(): line 266 read +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd" line 107: report: init_wordmemory(): comment detected, rest of line is ignored +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +INFO:Xst:1561 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom32x8.vhd" line 80: Mux is complete : default of case is discarded +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 177: note: init_bytememory(): initialized 512 words of memory to default value +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 180: note: init_bytememory(): loading memory from file ./prom/microcode.mif +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 2 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 3 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 4 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 5 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 6 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 7 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 8 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 8 parsed and accepted for address 0 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 9 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 10 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 11 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 11 parsed and accepted for address 1 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 12 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 13 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 14 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 14 parsed and accepted for address 2 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 15 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 16 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 17 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 17 parsed and accepted for address 3 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 18 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 19 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 20 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 20 parsed and accepted for address 4 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 21 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 22 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 23 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 23 parsed and accepted for address 5 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 24 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 25 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 26 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 27 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 28 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 29 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 30 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 31 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 32 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 32 parsed and accepted for address 10 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 33 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 34 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 35 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 35 parsed and accepted for address 11 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 36 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 37 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 38 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 38 parsed and accepted for address 12 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 39 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 40 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 41 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 41 parsed and accepted for address 13 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 42 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 43 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 44 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 44 parsed and accepted for address 14 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 45 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 46 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 47 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 47 parsed and accepted for address 15 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 48 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 49 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 50 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 50 parsed and accepted for address 16 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 51 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 52 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 53 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 53 parsed and accepted for address 17 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 54 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 55 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 56 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 56 parsed and accepted for address 18 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 57 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 58 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 59 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 59 parsed and accepted for address 19 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 60 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 61 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 62 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 63 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 64 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 65 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 66 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 66 parsed and accepted for address 20 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 67 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 68 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 69 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 69 parsed and accepted for address 21 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 70 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 71 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 72 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 72 parsed and accepted for address 22 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 73 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 74 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 75 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 75 parsed and accepted for address 23 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 76 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 77 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 78 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 78 parsed and accepted for address 24 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 79 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 80 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 81 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 81 parsed and accepted for address 25 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 82 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 83 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 84 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 84 parsed and accepted for address 26 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 85 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 86 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 87 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 87 parsed and accepted for address 27 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 88 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 89 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 90 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 90 parsed and accepted for address 28 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 91 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 92 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 93 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 93 parsed and accepted for address 29 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 94 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 95 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 96 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 96 parsed and accepted for address 30 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 97 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 98 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 99 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 99 parsed and accepted for address 31 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 100 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 101 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 102 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 102 parsed and accepted for address 32 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 103 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 104 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 105 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 105 parsed and accepted for address 33 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 106 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 107 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 108 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 108 parsed and accepted for address 34 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 109 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 110 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 111 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 111 parsed and accepted for address 35 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 112 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 113 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 114 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 114 parsed and accepted for address 36 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 115 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 116 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 117 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 117 parsed and accepted for address 37 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 118 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 119 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 120 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 120 parsed and accepted for address 38 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 121 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 122 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 123 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 123 parsed and accepted for address 39 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 124 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 125 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 126 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 126 parsed and accepted for address 40 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 127 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 128 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 129 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 129 parsed and accepted for address 41 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 130 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 131 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 132 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 132 parsed and accepted for address 42 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 133 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 134 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 135 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 135 parsed and accepted for address 43 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 136 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 137 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 138 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 138 parsed and accepted for address 44 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 139 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 140 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 141 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 141 parsed and accepted for address 45 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 142 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 143 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 144 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 144 parsed and accepted for address 46 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 145 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 146 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 147 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 147 parsed and accepted for address 47 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 148 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 149 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 150 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 150 parsed and accepted for address 48 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 151 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 152 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 153 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 153 parsed and accepted for address 49 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 154 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 155 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 156 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 156 parsed and accepted for address 50 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 157 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 158 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 159 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 159 parsed and accepted for address 51 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 160 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 161 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 162 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 162 parsed and accepted for address 52 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 163 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 164 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 165 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 165 parsed and accepted for address 53 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 166 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 167 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 168 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 168 parsed and accepted for address 54 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 169 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 170 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 171 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 171 parsed and accepted for address 55 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 172 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 173 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 174 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 174 parsed and accepted for address 56 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 175 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 176 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 177 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 177 parsed and accepted for address 57 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 178 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 179 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 180 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 180 parsed and accepted for address 58 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 181 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 182 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 183 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 183 parsed and accepted for address 59 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 184 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 185 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 186 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 186 parsed and accepted for address 60 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 187 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 188 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 189 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 189 parsed and accepted for address 61 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 190 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 191 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 192 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 192 parsed and accepted for address 62 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 193 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 194 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 195 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 195 parsed and accepted for address 63 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 196 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 197 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 198 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 198 parsed and accepted for address 64 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 199 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 200 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 201 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 201 parsed and accepted for address 65 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 202 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 203 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 204 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 204 parsed and accepted for address 66 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 205 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 206 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 207 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 207 parsed and accepted for address 67 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 208 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 209 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 210 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 210 parsed and accepted for address 68 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 211 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 212 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 213 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 213 parsed and accepted for address 69 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 214 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 215 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 216 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 216 parsed and accepted for address 70 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 217 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 218 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 219 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 219 parsed and accepted for address 71 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 220 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 221 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 222 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 222 parsed and accepted for address 72 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 223 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 224 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 225 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 225 parsed and accepted for address 73 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 226 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 227 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 228 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 228 parsed and accepted for address 74 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 229 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 230 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 231 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 231 parsed and accepted for address 75 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 232 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 233 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 234 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 234 parsed and accepted for address 76 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 235 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 236 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 237 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 237 parsed and accepted for address 77 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 238 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 239 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 240 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 240 parsed and accepted for address 78 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 241 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 242 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 243 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 243 parsed and accepted for address 79 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 244 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 245 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 246 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 246 parsed and accepted for address 80 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 247 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 248 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 249 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 249 parsed and accepted for address 81 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 250 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 251 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 252 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 252 parsed and accepted for address 82 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 253 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 254 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 255 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 255 parsed and accepted for address 83 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 256 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 257 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 258 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 258 parsed and accepted for address 84 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 259 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 260 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 261 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 261 parsed and accepted for address 85 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 262 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 263 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 264 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 264 parsed and accepted for address 86 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 265 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 266 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 267 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 267 parsed and accepted for address 87 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 268 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 269 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 270 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 270 parsed and accepted for address 88 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 271 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 272 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 273 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 273 parsed and accepted for address 89 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 274 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 275 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 276 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 276 parsed and accepted for address 90 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 277 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 278 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 279 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 279 parsed and accepted for address 91 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 280 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 281 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 282 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 282 parsed and accepted for address 92 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 283 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 284 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 285 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 285 parsed and accepted for address 93 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 286 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 287 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 288 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 288 parsed and accepted for address 94 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 289 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 290 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 291 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 291 parsed and accepted for address 95 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 292 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 293 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 294 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 294 parsed and accepted for address 96 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 295 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 296 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 297 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 297 parsed and accepted for address 97 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 298 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 299 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 300 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 300 parsed and accepted for address 98 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 301 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 302 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 303 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 303 parsed and accepted for address 99 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 304 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 305 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 306 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 306 parsed and accepted for address 100 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 307 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 308 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 309 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 309 parsed and accepted for address 101 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 310 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 311 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 312 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 312 parsed and accepted for address 102 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 313 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 314 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 315 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 315 parsed and accepted for address 103 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 316 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 317 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 318 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 318 parsed and accepted for address 104 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 319 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 320 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 321 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 321 parsed and accepted for address 105 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 322 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 323 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 324 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 324 parsed and accepted for address 106 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 325 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 326 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 327 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 327 parsed and accepted for address 107 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 328 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 329 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 330 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 330 parsed and accepted for address 108 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 331 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 332 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 333 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 333 parsed and accepted for address 109 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 334 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 335 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 336 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 336 parsed and accepted for address 110 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 337 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 338 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 339 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 339 parsed and accepted for address 111 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 340 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 341 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 342 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 342 parsed and accepted for address 112 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 343 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 344 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 345 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 345 parsed and accepted for address 113 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 346 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 347 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 348 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 348 parsed and accepted for address 114 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 349 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 350 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 351 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 351 parsed and accepted for address 115 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 352 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 353 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 354 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 354 parsed and accepted for address 116 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 355 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 356 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 357 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 357 parsed and accepted for address 117 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 358 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 359 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 360 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 360 parsed and accepted for address 118 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 361 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 362 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 363 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 363 parsed and accepted for address 119 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 364 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 365 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 366 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 366 parsed and accepted for address 120 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 367 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 368 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 369 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 369 parsed and accepted for address 121 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 370 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 371 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 372 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 372 parsed and accepted for address 122 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 373 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 374 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 375 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 375 parsed and accepted for address 123 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 376 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 377 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 378 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 378 parsed and accepted for address 124 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 379 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 380 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 381 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 381 parsed and accepted for address 125 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 382 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 383 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 384 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 384 parsed and accepted for address 126 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 385 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 386 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 387 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 387 parsed and accepted for address 127 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 388 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 389 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 390 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 390 parsed and accepted for address 128 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 391 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 392 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 393 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 393 parsed and accepted for address 129 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 394 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 395 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 396 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 396 parsed and accepted for address 130 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 397 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 398 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 399 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 399 parsed and accepted for address 131 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 400 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 401 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 402 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 402 parsed and accepted for address 132 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 403 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 404 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 405 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 405 parsed and accepted for address 133 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 406 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 407 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 408 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 408 parsed and accepted for address 134 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 409 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 410 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 411 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 411 parsed and accepted for address 135 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 412 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 413 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 414 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 414 parsed and accepted for address 136 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 415 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 416 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 417 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 417 parsed and accepted for address 137 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 418 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 419 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 420 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 420 parsed and accepted for address 138 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 421 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 422 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 423 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 423 parsed and accepted for address 139 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 424 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 425 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 426 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 426 parsed and accepted for address 140 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 427 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 428 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 429 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 429 parsed and accepted for address 141 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 430 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 431 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 432 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 432 parsed and accepted for address 142 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 433 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 434 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 435 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 435 parsed and accepted for address 143 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 436 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 437 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 438 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 438 parsed and accepted for address 144 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 439 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 440 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 441 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 441 parsed and accepted for address 145 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 442 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 443 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 444 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 444 parsed and accepted for address 146 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 445 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 446 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 447 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 447 parsed and accepted for address 147 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 448 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 449 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 450 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 450 parsed and accepted for address 148 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 451 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 452 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 453 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 453 parsed and accepted for address 149 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 454 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 455 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 456 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 456 parsed and accepted for address 150 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 457 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 458 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 459 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 459 parsed and accepted for address 151 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 460 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 461 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 462 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 462 parsed and accepted for address 152 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 463 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 464 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 465 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 465 parsed and accepted for address 153 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 466 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 467 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 468 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 468 parsed and accepted for address 154 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 469 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 470 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 471 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 471 parsed and accepted for address 155 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 472 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 473 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 474 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 474 parsed and accepted for address 156 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 475 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 476 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 477 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 477 parsed and accepted for address 157 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 478 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 479 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 480 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 480 parsed and accepted for address 158 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 481 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 482 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 483 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 483 parsed and accepted for address 159 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 484 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 485 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 486 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 486 parsed and accepted for address 160 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 487 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 488 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 489 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 489 parsed and accepted for address 161 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 490 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 491 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 492 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 492 parsed and accepted for address 162 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 493 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 494 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 495 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 495 parsed and accepted for address 163 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 496 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 497 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 498 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 498 parsed and accepted for address 164 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 499 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 500 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 501 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 501 parsed and accepted for address 165 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 502 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 503 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 504 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 504 parsed and accepted for address 166 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 505 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 506 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 507 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 507 parsed and accepted for address 167 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 508 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 509 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 510 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 510 parsed and accepted for address 168 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 511 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 512 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 513 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 513 parsed and accepted for address 169 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 514 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 515 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 516 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 516 parsed and accepted for address 170 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 517 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 518 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 519 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 519 parsed and accepted for address 171 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 520 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 521 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 522 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 522 parsed and accepted for address 172 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 523 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 524 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 525 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 525 parsed and accepted for address 173 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 526 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 527 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 528 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 528 parsed and accepted for address 174 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 529 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 530 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 531 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 531 parsed and accepted for address 175 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 532 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 533 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 534 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 534 parsed and accepted for address 176 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 535 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 536 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 537 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 537 parsed and accepted for address 177 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 538 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 539 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 540 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 540 parsed and accepted for address 178 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 541 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 542 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 543 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 543 parsed and accepted for address 179 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 544 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 545 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 546 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 546 parsed and accepted for address 180 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 547 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 548 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 549 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 549 parsed and accepted for address 181 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 550 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 551 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 552 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 552 parsed and accepted for address 182 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 553 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 554 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 555 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 555 parsed and accepted for address 183 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 556 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 557 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 558 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 558 parsed and accepted for address 184 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 559 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 560 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 561 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 561 parsed and accepted for address 185 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 562 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 563 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 564 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 564 parsed and accepted for address 186 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 565 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 566 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 567 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 567 parsed and accepted for address 187 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 568 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 569 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 570 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 570 parsed and accepted for address 188 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 571 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 572 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 573 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 573 parsed and accepted for address 189 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 574 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 575 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 576 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 576 parsed and accepted for address 190 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 577 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 578 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 579 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 579 parsed and accepted for address 191 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 580 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 581 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 582 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 582 parsed and accepted for address 192 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 583 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 584 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 585 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 585 parsed and accepted for address 193 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 586 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 587 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 588 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 588 parsed and accepted for address 194 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 589 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 590 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 591 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 591 parsed and accepted for address 195 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 592 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 593 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 594 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 594 parsed and accepted for address 196 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 595 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 596 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 597 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 597 parsed and accepted for address 197 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 598 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 599 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 600 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 600 parsed and accepted for address 198 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 601 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 602 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 603 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 603 parsed and accepted for address 199 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 604 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 605 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 606 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 606 parsed and accepted for address 200 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 607 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 608 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 609 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 609 parsed and accepted for address 201 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 610 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 611 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 612 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 612 parsed and accepted for address 202 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 613 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 614 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 615 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 615 parsed and accepted for address 203 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 616 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 617 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 618 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 618 parsed and accepted for address 204 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 619 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 620 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 621 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 621 parsed and accepted for address 205 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 622 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 623 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 624 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 624 parsed and accepted for address 206 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 625 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 626 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 627 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 627 parsed and accepted for address 207 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 628 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 629 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 630 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 630 parsed and accepted for address 208 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 631 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 632 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 633 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 633 parsed and accepted for address 209 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 634 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 635 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 636 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 636 parsed and accepted for address 210 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 637 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 638 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 639 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 639 parsed and accepted for address 211 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 640 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 641 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 642 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 642 parsed and accepted for address 212 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 643 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 644 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 645 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 645 parsed and accepted for address 213 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 646 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 647 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 648 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 648 parsed and accepted for address 214 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 649 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 650 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 651 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 651 parsed and accepted for address 215 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 652 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 653 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 654 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 654 parsed and accepted for address 216 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 655 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 656 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 657 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 657 parsed and accepted for address 217 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 658 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 659 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 660 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 660 parsed and accepted for address 218 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 661 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 662 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 663 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 663 parsed and accepted for address 219 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 664 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 665 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 666 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 666 parsed and accepted for address 220 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 667 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 668 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 669 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 669 parsed and accepted for address 221 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 670 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 671 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 672 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 672 parsed and accepted for address 222 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 673 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 674 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 675 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 675 parsed and accepted for address 223 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 676 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 677 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 678 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 678 parsed and accepted for address 224 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 679 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 680 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 681 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 681 parsed and accepted for address 225 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 682 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 683 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 684 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 684 parsed and accepted for address 226 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 685 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 686 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 687 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 687 parsed and accepted for address 227 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 688 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 689 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 690 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 690 parsed and accepted for address 228 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 691 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 692 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 693 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 693 parsed and accepted for address 229 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 694 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 695 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 696 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 696 parsed and accepted for address 230 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 697 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 698 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 699 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 699 parsed and accepted for address 231 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 700 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 701 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 702 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 702 parsed and accepted for address 232 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 703 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 704 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 705 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 705 parsed and accepted for address 233 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 706 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 707 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 708 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 708 parsed and accepted for address 234 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 709 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 710 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 711 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 711 parsed and accepted for address 235 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 712 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 713 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 714 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 714 parsed and accepted for address 236 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 715 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 716 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 717 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 717 parsed and accepted for address 237 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 718 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 719 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 720 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 720 parsed and accepted for address 238 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 721 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 722 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 723 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 723 parsed and accepted for address 239 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 724 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 725 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 726 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 726 parsed and accepted for address 240 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 727 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 728 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 729 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 729 parsed and accepted for address 241 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 730 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 731 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 732 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 732 parsed and accepted for address 242 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 733 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 734 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 735 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 735 parsed and accepted for address 243 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 736 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 737 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 738 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 738 parsed and accepted for address 244 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 739 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 740 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 741 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 741 parsed and accepted for address 245 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 742 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 743 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 744 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 744 parsed and accepted for address 246 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 745 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 746 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 747 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 747 parsed and accepted for address 247 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 748 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 749 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 750 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 750 parsed and accepted for address 248 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 751 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 752 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 753 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 753 parsed and accepted for address 249 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 754 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 755 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 756 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 756 parsed and accepted for address 250 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 757 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 758 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 759 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 759 parsed and accepted for address 251 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 760 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 761 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 762 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 762 parsed and accepted for address 252 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 763 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 764 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 765 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 765 parsed and accepted for address 253 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 766 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 767 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 768 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 768 parsed and accepted for address 254 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 769 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 770 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 771 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 771 parsed and accepted for address 255 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 772 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 773 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 774 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 774 parsed and accepted for address 256 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 775 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 776 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 777 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 777 parsed and accepted for address 257 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 778 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 779 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 780 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 780 parsed and accepted for address 258 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 781 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 782 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 783 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 783 parsed and accepted for address 259 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 784 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 785 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 786 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 786 parsed and accepted for address 260 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 787 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 788 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 789 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 789 parsed and accepted for address 261 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 790 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 791 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 792 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 792 parsed and accepted for address 262 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 793 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 794 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 795 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 795 parsed and accepted for address 263 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 796 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 797 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 798 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 798 parsed and accepted for address 264 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 799 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 800 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 801 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 801 parsed and accepted for address 265 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 802 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 803 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 804 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 804 parsed and accepted for address 266 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 805 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 806 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 807 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 807 parsed and accepted for address 267 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 808 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 809 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 810 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 810 parsed and accepted for address 268 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 811 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 812 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 813 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 813 parsed and accepted for address 269 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 814 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 815 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 816 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 816 parsed and accepted for address 270 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 817 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 818 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 819 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 819 parsed and accepted for address 271 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 820 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 821 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 822 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 822 parsed and accepted for address 272 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 823 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 824 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 825 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 825 parsed and accepted for address 273 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 826 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 827 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 828 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 828 parsed and accepted for address 274 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 829 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 830 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 831 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 831 parsed and accepted for address 275 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 832 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 833 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 834 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 834 parsed and accepted for address 276 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 835 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 836 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 837 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 837 parsed and accepted for address 277 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 838 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 839 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 840 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 840 parsed and accepted for address 278 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 841 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 842 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 843 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 843 parsed and accepted for address 279 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 844 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 845 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 846 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 846 parsed and accepted for address 280 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 847 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 848 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 849 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 849 parsed and accepted for address 281 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 850 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 851 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 852 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 852 parsed and accepted for address 282 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 853 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 854 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 855 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 855 parsed and accepted for address 283 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 856 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 857 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 858 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 858 parsed and accepted for address 284 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 859 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 860 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 861 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 861 parsed and accepted for address 285 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 862 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 863 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 864 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 864 parsed and accepted for address 286 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 865 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 866 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 867 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 867 parsed and accepted for address 287 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 868 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 869 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 870 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 870 parsed and accepted for address 288 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 871 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 872 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 873 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 873 parsed and accepted for address 289 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 874 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 875 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 876 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 876 parsed and accepted for address 290 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 877 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 878 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 879 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 879 parsed and accepted for address 291 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 880 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 881 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 882 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 882 parsed and accepted for address 292 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 883 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 884 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 885 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 885 parsed and accepted for address 293 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 886 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 887 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 888 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 888 parsed and accepted for address 294 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 889 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 890 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 891 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 891 parsed and accepted for address 295 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 892 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 893 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 894 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 894 parsed and accepted for address 296 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 895 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 896 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 897 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 897 parsed and accepted for address 297 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 898 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 899 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 900 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 900 parsed and accepted for address 298 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 901 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 902 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 903 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 903 parsed and accepted for address 299 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 904 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 905 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 906 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 906 parsed and accepted for address 300 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 907 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 908 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 909 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 909 parsed and accepted for address 301 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 910 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 911 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 912 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 912 parsed and accepted for address 302 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 913 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 914 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 915 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 915 parsed and accepted for address 303 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 916 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 917 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 918 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 918 parsed and accepted for address 304 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 919 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 920 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 921 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 921 parsed and accepted for address 305 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 922 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 923 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 924 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 924 parsed and accepted for address 306 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 925 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 926 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 927 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 927 parsed and accepted for address 307 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 928 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 929 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 930 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 930 parsed and accepted for address 308 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 931 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 932 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 933 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 933 parsed and accepted for address 309 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 934 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 935 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 936 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 936 parsed and accepted for address 310 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 937 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 938 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 939 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 939 parsed and accepted for address 311 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 940 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 941 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 942 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 942 parsed and accepted for address 312 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 943 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 944 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 945 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 945 parsed and accepted for address 313 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 946 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 947 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 948 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 948 parsed and accepted for address 314 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 949 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 950 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 951 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 951 parsed and accepted for address 315 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 952 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 953 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 954 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 954 parsed and accepted for address 316 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 955 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 956 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 957 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 957 parsed and accepted for address 317 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 958 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 959 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 960 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 960 parsed and accepted for address 318 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 961 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 962 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 963 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 963 parsed and accepted for address 319 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 964 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 965 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 966 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 966 parsed and accepted for address 320 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 967 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 968 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 969 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 969 parsed and accepted for address 321 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 970 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 971 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 972 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 972 parsed and accepted for address 322 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 973 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 974 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 975 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 975 parsed and accepted for address 323 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 976 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 977 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 978 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 978 parsed and accepted for address 324 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 979 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 980 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 981 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 981 parsed and accepted for address 325 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 982 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 983 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 984 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 984 parsed and accepted for address 326 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 985 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 986 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 987 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 987 parsed and accepted for address 327 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 988 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 989 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 990 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 990 parsed and accepted for address 328 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 991 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 992 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 993 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 993 parsed and accepted for address 329 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 994 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 995 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 996 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 996 parsed and accepted for address 330 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 997 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 998 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 999 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 999 parsed and accepted for address 331 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1000 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1001 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1002 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1002 parsed and accepted for address 332 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1003 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1004 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1005 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1005 parsed and accepted for address 333 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1006 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1007 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1008 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1008 parsed and accepted for address 334 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1009 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1010 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1011 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1011 parsed and accepted for address 335 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1012 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1013 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1014 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1014 parsed and accepted for address 336 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1015 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1016 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1017 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1017 parsed and accepted for address 337 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1018 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1019 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1020 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1020 parsed and accepted for address 338 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1021 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1022 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1023 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1023 parsed and accepted for address 339 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1024 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1025 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1026 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1026 parsed and accepted for address 340 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1027 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1028 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1029 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1029 parsed and accepted for address 341 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1030 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1031 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1032 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1032 parsed and accepted for address 342 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1033 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1034 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1035 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1035 parsed and accepted for address 343 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1036 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1037 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1038 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1038 parsed and accepted for address 344 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1039 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1040 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1041 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1041 parsed and accepted for address 345 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1042 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1043 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1044 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1044 parsed and accepted for address 346 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1045 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1046 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1047 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1047 parsed and accepted for address 347 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1048 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1049 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1050 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1050 parsed and accepted for address 348 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1051 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1052 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1053 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1053 parsed and accepted for address 349 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1054 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1055 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1056 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1056 parsed and accepted for address 350 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1057 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1058 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1059 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1059 parsed and accepted for address 351 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1060 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1061 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1062 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1063 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1064 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str='0' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 211: report: init_bytememory(): line 1064 parsed and accepted for address 511 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1065 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 186: report: init_mem(): parsing line 1066 +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 194: report: addr_str=';' +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 222: report: init_bytememory(): 349 total lines parsed and accepted from file ./prom/microcode.mif +INFO:Xst:1749 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd" line 223: report: init_bytememory(): 717 total lines parsed and ignored from file ./prom/microcode.mif +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 41: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 42: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 37: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 38: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 36: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 37: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 38: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 39: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +INFO:Xst:1739 - HDL ADVISOR - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd" line 40: declaration of a buffer port will make it difficult for you to validate this design by simulation. It is preferable to declare it as output. +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +WARNING:Xst:819 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/ram_regs.vhd" line 84: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: + +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +INFO:Xst:1561 - "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/alu.vhd" line 77: Mux is complete : default of case is discarded +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/clock_divider.vhd". + Found 12-bit up counter for signal . + Found 15-bit up counter for signal . + Summary: + inferred 2 Counter(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/counter16bit.vhd". + Found 16-bit up counter for signal . + Summary: + inferred 1 Counter(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/clocksinglestepper.vhd". + Found 1-bit register for signal . + Summary: + inferred 1 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/simpledevice.vhd". +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + Found 8-bit tristate buffer for signal . + Found 8-bit 16-to-1 multiplexer for signal <$varindex0000> created at line 77. + Summary: + inferred 8 Multiplexer(s). + inferred 8 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/hexfilerom.vhd". + Found 256x8-bit ROM for signal created at line 92. + Found 8-bit tristate buffer for signal . + Summary: + inferred 1 ROM(s). + inferred 8 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/mux16to4.vhd". + Found 4-bit 4-to-1 multiplexer for signal . + Summary: + inferred 4 Multiplexer(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/nibble2sevenseg.vhd". + Found 16x7-bit ROM for signal . + Summary: + inferred 1 ROM(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/debouncer.vhd". + Found 1-bit register for signal . + Found 8-bit register for signal . + Summary: + inferred 9 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS377.vhd". + Found 8-bit register for signal . + Summary: + inferred 8 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom256x12.vhd". + Found 256x12-bit ROM for signal created at line 170. + Summary: + inferred 1 ROM(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2909.vhd". + Found 4-bit tristate buffer for signal . + Found 4-bit register for signal . + Found 4-bit register for signal . + Found 6-bit adder for signal created at line 81. + Found 4-bit register for signal . + Found 4-bit register for signal . + Found 4-bit register for signal . + Found 4-bit register for signal . + Found 4-bit 4-to-1 multiplexer for signal . + Summary: + inferred 24 D-type flip-flop(s). + inferred 1 Adder/Subtractor(s). + inferred 4 Multiplexer(s). + inferred 4 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/Am2922.vhd". + Found 1-bit tristate buffer for signal . + Found 4-bit register for signal . + Found 1-bit xor2 for signal created at line 66. + Summary: + inferred 4 D-type flip-flop(s). + inferred 1 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom32x8.vhd". + Found 16x5-bit ROM for signal . + Summary: + inferred 1 ROM(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/rom512x56.vhd". + Found 512x56-bit ROM for signal created at line 232. + Summary: + inferred 1 ROM(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS374.vhd". + Found 8-bit tristate buffer for signal . + Found 8-bit register for signal . + Summary: + inferred 8 D-type flip-flop(s). + inferred 8 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS157.vhd". +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS153.vhd". + Found 1-bit 4-to-1 multiplexer for signal . + Found 1-bit 4-to-1 multiplexer for signal . + Summary: + inferred 2 Multiplexer(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25LS257.vhd". + Found 4-bit tristate buffer for signal . + Summary: + inferred 4 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am82S62.vhd". + Found 1-bit xor8 for signal . + Summary: + inferred 1 Xor(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/Am2920.vhd". + Found 8-bit tristate buffer for signal . + Found 8-bit register for signal . + Summary: + inferred 8 D-type flip-flop(s). + inferred 8 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/Am2918.vhd". + Found 4-bit register for signal . + Found 4-bit tristate buffer for signal . + Summary: + inferred 4 D-type flip-flop(s). + inferred 4 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am25139.vhd". + Found 1-of-4 decoder for signal . + Found 1-of-4 decoder for signal . + Summary: + inferred 2 Decoder(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/src_op.vhd". + Found 4-bit 8-to-1 multiplexer for signal . + Summary: + inferred 4 Multiplexer(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/alu.vhd". + Found 5-bit 8-to-1 multiplexer for signal . + Found 5-bit adder carry in for signal . + Found 5-bit adder for signal created at line 53. + Found 5-bit xor2 for signal created at line 76. + Found 1-bit xor2 for signal created at line 95. + Summary: + inferred 2 Adder/Subtractor(s). + inferred 5 Multiplexer(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/out_mux.vhd". + Found 4-bit tristate buffer for signal . + Summary: + inferred 4 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/ureg.vhd". + Found 4-bit register for signal . + Summary: + inferred 4 D-type flip-flop(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/fourdigitsevensegled.vhd". +WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/debouncer8channel.vhd". +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/ram_regs.vhd". + Found 4-bit 16-to-1 multiplexer for signal . + Found 4-bit 16-to-1 multiplexer for signal . + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Summary: + inferred 8 Multiplexer(s). + inferred 2 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/q_reg.vhd". + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Summary: + inferred 2 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am29XX/am2901.vhd". + Found 1-bit tristate buffer for signal . + Summary: + inferred 1 Tristate(s). +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/Am9080/Am9080a.vhd". +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 0. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value 0000. +WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +Unit synthesized. + + +Synthesizing Unit . + Related source file is "C:/Users/zoltanp/Documents/HexCalc/Sys9080/sys9080.vhd". +WARNING:Xst:1306 - Output is never assigned. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:1306 - Output is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:1306 - Output is never assigned. +WARNING:Xst:2565 - Inout > is never assigned. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. +WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. +Unit synthesized. + +INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# ROMs : 5 + 16x5-bit ROM : 1 + 16x7-bit ROM : 1 + 256x12-bit ROM : 1 + 256x8-bit ROM : 1 + 512x56-bit ROM : 1 +# Adders/Subtractors : 11 + 5-bit adder : 4 + 5-bit adder carry in : 4 + 6-bit adder : 3 +# Counters : 3 + 12-bit up counter : 1 + 15-bit up counter : 1 + 16-bit up counter : 1 +# Registers : 138 + 1-bit register : 17 + 4-bit register : 90 + 8-bit register : 31 +# Latches : 33 + 1-bit latch : 16 + 8-bit latch : 17 +# Multiplexers : 25 + 1-bit 4-to-1 multiplexer : 4 + 4-bit 16-to-1 multiplexer : 8 + 4-bit 4-to-1 multiplexer : 4 + 4-bit 8-to-1 multiplexer : 4 + 5-bit 8-to-1 multiplexer : 4 + 8-bit 16-to-1 multiplexer : 1 +# Decoders : 2 + 1-of-4 decoder : 2 +# Tristates : 48 + 1-bit tristate buffer : 22 + 4-bit tristate buffer : 11 + 8-bit tristate buffer : 15 +# Xors : 11 + 1-bit xor2 : 6 + 1-bit xor8 : 1 + 5-bit xor2 : 4 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + +WARNING:Xst:1290 - Hierarchical block is unconnected in block . + It will be removed from the design. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:1290 - Hierarchical block is unconnected in block . + It will be removed from the design. +WARNING:Xst:1290 - Hierarchical block is unconnected in block . + It will be removed from the design. +WARNING:Xst:1290 - Hierarchical block is unconnected in block . + It will be removed from the design. +WARNING:Xst:1290 - Hierarchical block is unconnected in block . + It will be removed from the design. + +Synthesizing (advanced) Unit . +INFO:Xst:3044 - The ROM will be implemented as a read-only BLOCK RAM, absorbing the register: . +INFO:Xst:3225 - The RAM will be implemented as BLOCK RAM + ----------------------------------------------------------------------- + | ram_type | Block | | + ----------------------------------------------------------------------- + | Port A | + | aspect ratio | 256-word x 12-bit | | + | mode | write-first | | + | clkA | connected to signal | rise | + | enA | connected to signal > | low | + | weA | connected to signal | high | + | addrA | connected to signal | | + | diA | connected to signal | | + | doA | connected to signal | | + ----------------------------------------------------------------------- + | optimization | speed | | + ----------------------------------------------------------------------- +Unit synthesized (advanced). +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# RAMs : 1 + 256x12-bit single-port block RAM : 1 +# ROMs : 4 + 16x5-bit ROM : 1 + 16x7-bit ROM : 1 + 256x8-bit ROM : 1 + 512x56-bit ROM : 1 +# Adders/Subtractors : 11 + 5-bit adder : 4 + 5-bit adder carry in : 4 + 6-bit adder : 3 +# Counters : 3 + 12-bit up counter : 1 + 15-bit up counter : 1 + 16-bit up counter : 1 +# Registers : 623 + Flip-Flops : 623 +# Latches : 33 + 1-bit latch : 16 + 8-bit latch : 17 +# Multiplexers : 34 + 1-bit 4-to-1 multiplexer : 16 + 4-bit 16-to-1 multiplexer : 8 + 4-bit 4-to-1 multiplexer : 1 + 4-bit 8-to-1 multiplexer : 4 + 5-bit 8-to-1 multiplexer : 4 + 8-bit 16-to-1 multiplexer : 1 +# Decoders : 2 + 1-of-4 decoder : 2 +# Xors : 11 + 1-bit xor2 : 6 + 1-bit xor8 : 1 + 5-bit xor2 : 4 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= +WARNING:Xst:2042 - Unit hexfilerom: 8 internal tristates are replaced by logic (pull-up yes): D<0>, D<1>, D<2>, D<3>, D<4>, D<5>, D<6>, D<7>. +WARNING:Xst:2042 - Unit simpledevice: 8 internal tristates are replaced by logic (pull-up yes): D<0>, D<1>, D<2>, D<3>, D<4>, D<5>, D<6>, D<7>. +WARNING:Xst:2042 - Unit ram_regs: 2 internal tristates are replaced by logic (pull-up yes): ram0, ram3. +WARNING:Xst:2042 - Unit out_mux: 4 internal tristates are replaced by logic (pull-up yes): y<0>, y<1>, y<2>, y<3>. +WARNING:Xst:2042 - Unit Am2918: 4 internal tristates are replaced by logic (pull-up yes): y<0>, y<1>, y<2>, y<3>. +WARNING:Xst:2042 - Unit Am2920: 8 internal tristates are replaced by logic (pull-up yes): y<0>, y<1>, y<2>, y<3>, y<4>, y<5>, y<6>, y<7>. +WARNING:Xst:2042 - Unit Am25LS257: 4 internal tristates are replaced by logic (pull-up yes): y<0>, y<1>, y<2>, y<3>. +WARNING:Xst:2042 - Unit Am25LS374: 8 internal tristates are replaced by logic (pull-up yes): y<0>, y<1>, y<2>, y<3>, y<4>, y<5>, y<6>, y<7>. +WARNING:Xst:2041 - Unit Am2922: 1 internal tristate is replaced by logic (pull-up yes): y. +WARNING:Xst:2042 - Unit Am2909: 4 internal tristates are replaced by logic (pull-up yes): Y<0>, Y<1>, Y<2>, Y<3>. +WARNING:Xst:2042 - Unit q_reg: 2 internal tristates are replaced by logic (pull-up yes): qs0, qs3. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following FF/Latch, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following FF/Latch, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following FF/Latch, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit is equivalent to the following FF/Latch, which will be removed : + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... + +Optimizing unit ... +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . +WARNING:Xst:2677 - Node of sequential type is unconnected in block . + +Mapping all equations... +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: led4x7/digitmux/Mmux_y_4, cpu/u43/u4/f1_mux0002<0>, cpu/u43/u4/Madd_f1_addsub0000_lut<0>, cpu/u43/f<0>, N260, cpu/am2901_y<8>, cpu/u33/u4/alu_ctl<2>151, cpu/am2901_y<0>, cpu/u33/r<0>, cpu/u33/u3/r<0>15, cpu/u43/u4/alu_ctl<2>7, cpu/u43/u4/f1_addsub0000<0>, cpu/u33/f<0>, A_TO_G<6>, led_bus<0>7, cpu/u43/r<0>, led4x7/digit<0>, led_bus<0>. +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: cpu/am2901_y<9>, N258, led_bus<3>1, cpu/u43/u4/alu_ctl<2>317, N274, A_TO_G<5>, cpu/u43/u4/Madd_f1_addsub0000_cy<2>, cpu/u33/u4/f1_and0001<1>, cpu/u33/u4/Mmux_f1_6_f51, cpu/u43/f<1>, cpu/u33/r<1>, cpu/u33/u4/Mmux_f1_81, cpu/u43/u4/Madd_f1_addsub0000_cy<1>, cpu/u43/r<1>, cpu/am2901_y<1>, led_bus<3>, cpu/u43/u4/Madd_f1_addsub0000_lut<1>, cpu/u43/u4/f1_addsub0000<3>, led4x7/digitmux/Mmux_y_43, N234, cpu/u43/u4/f1_addsub0000<1>, led4x7/digit<3>, cpu/u43/f<3>, cpu/u33/f<1>, cpu/u33/u4/alu_ctl<2>10, cpu/u43/u4/f1_mux0002<1>, cpu/am2901_y<3>, cpu/u43/u4/alu_ctl<2>117. +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: cpu/u33/u4/Mmux_f1_6_f52, cpu/u43/u4/f1_mux0002<2>, cpu/u43/r<2>, N272, led_bus<2>, A_TO_G<4>, cpu/am2901_y<2>, led4x7/digitmux/Mmux_y_42, N256, cpu/u33/r<2>, cpu/u43/u4/alu_ctl<2>217, led4x7/digit<2>, cpu/u43/u4/Madd_f1_addsub0000_lut<2>, cpu/am2901_y<10>, N231, cpu/u33/u4/alu_ctl<2>20, cpu/u33/f<2>, cpu/u43/f<2>, cpu/u33/u4/Mmux_f1_82, cpu/u33/u4/f1_and0001<2>, led_bus<2>12, cpu/u43/u4/f1_addsub0000<2>. +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: N2441, N254, cpu/u33/u4/Madd_f1_addsub0000_cy<0>, A_TO_G<3>, cpu/u33/u4/f1_addsub0000<3>, cpu/u43/u4/f1_addsub0000<4>, cpu/u33/u4/alu_ctl<2>328, cpu/u64/y_internal<1>, cpu/u64/y_internal<1>27, cpu/u43/r<3>, led_bus<5>, cpu/u33/u4/Madd_f1_addsub0000_cy<2>, cpu/u44/f<1>, led_bus<5>7, cpu/u43/u4/Madd_f1_addsub0000_lut<3>, cpu/am2901_y<11>, cpu/u44/u4/f1_addsub0000<4>, cpu/u43/u4/f1_mux0002<3>, cpu/u44/u4/Madd_f1_addsub0000_cy<1>, cpu/u33/u4/Madd_f1_addsub0000_cy<1>, cpu/u33/u4/f1_mux0003, cpu/u44/u4/f1_mux0003, cpu/u44/u4/f1_addsub0000<1>, cpu/am2901_c3, cpu/am2901_y<5>, cpu/u43/u4/Madd_f1_addsub0000_cy<3>, cpu/u44/u4/Madd_f1_addsub0000_cy<3>, cpu/u44/u4/Madd_f1_addsub0000_cy<0>, cpu/u44/u4/alu_ctl<2>117, led4x7/digit<1>, led4x7/digitmux/Mmux_y_41, cpu/u44/u4/Madd_f1_addsub0000_cy<2>, cpu/u33/f<3>. +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: N248, cpu/u34/u2/data<3>, cpu/u34/u4/f1_and0001<2>, cpu/am2901_y<6>, N264, cpu/u34/u4/Mmux_f1_6_f52, cpu/u34/u4/Mmux_f1_82, cpu/u34/r<2>, cpu/u44/r<2>, cpu/u34/u2/data<3>11, cpu/u34/u4/alu_ctl<2>20, cpu/u44/u4/alu_ctl<2>215, cpu/am2901_y<14>, cpu/u34/f<2>, cpu/u44/f<2>. +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: cpu/u34/u4/f1_and0001<3>, cpu/u34/u2/data<2>, cpu/u34/r<3>, cpu/am2901_f15, N262, cpu/u34/u4/alu_ctl<2>30, cpu/u44/r<3>, cpu/am2901_y<7>, cpu/am2901_y<15>, N60, cpu/u44/u4/alu_ctl<2>315, cpu/u34/u4/Mmux_f1_83, cpu/u44/f<3>, cpu/u34/u4/Mmux_f1_6_f53, N246. +WARNING:Xst:2170 - Unit sys9080 : the following signal(s) form a combinatorial loop: cpu/am2901_y<4>, cpu/u34/u4/Mmux_f1_6_f5, cpu/u44/u4/f1_mux0002<0>, cpu/u44/u4/Madd_f1_addsub0000_lut<0>, N252, cpu/u44/u4/f1_addsub0000<0>, cpu/u34/u4/alu_ctl<2>0, cpu/u44/f<0>, cpu/u34/u2/data<1>, cpu/u44/u4/alu_ctl<2>171, N268, cpu/u34/r<0>, cpu/am2901_y<12>, cpu/u34/f<0>, cpu/u44/r<0>, cpu/u34/u4/f1_and0001<0>, N61, cpu/u34/u4/Mmux_f1_8. +Building and optimizing final netlist ... +Found area constraint ratio of 100 (+ 5) on block sys9080, actual ratio is 79. +FlipFlop cpu/u3132/q_0 has been replicated 1 time(s) +FlipFlop cpu/u3132/q_3 has been replicated 1 time(s) +FlipFlop cpu/u3132/q_5 has been replicated 1 time(s) +FlipFlop cpu/u3132/q_7 has been replicated 1 time(s) +FlipFlop cpu/u3241/q_0 has been replicated 1 time(s) +FlipFlop cpu/u3241/q_1 has been replicated 1 time(s) +FlipFlop cpu/u4142/q_1 has been replicated 1 time(s) + +Final Macro Processing ... + +========================================================================= +Final Register Report + +Macro Statistics +# Registers : 589 + Flip-Flops : 589 + +========================================================================= + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : sys9080.ngr +Top Level Output File Name : sys9080 +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : No + +Design Statistics +# IOs : 42 + +Cell Usage : +# BELS : 3374 +# GND : 1 +# INV : 5 +# LUT1 : 40 +# LUT2 : 162 +# LUT2_D : 8 +# LUT2_L : 10 +# LUT3 : 620 +# LUT3_D : 12 +# LUT4 : 1617 +# LUT4_D : 22 +# LUT4_L : 21 +# MUXCY : 72 +# MUXF5 : 493 +# MUXF6 : 142 +# MUXF7 : 65 +# VCC : 1 +# XORCY : 83 +# FlipFlops/Latches : 741 +# FD : 42 +# FDC : 31 +# FDCE : 320 +# FDCP : 1 +# FDE : 59 +# FDP : 96 +# FDR : 9 +# FDS : 19 +# FDSE : 12 +# LDE : 128 +# LDE_1 : 24 +# RAMS : 1 +# RAMB16BWE : 1 +# Clock Buffers : 3 +# BUFG : 2 +# BUFGP : 1 +# IO Buffers : 29 +# IBUF : 13 +# OBUF : 16 +========================================================================= + +Device utilization summary: +--------------------------- + +Selected Device : 3s200avq100-4 + + Number of Slices: 1351 out of 1792 75% + Number of Slice Flip Flops: 741 out of 3584 20% + Number of 4 input LUTs: 2517 out of 3584 70% + Number of IOs: 42 + Number of bonded IOBs: 30 out of 68 44% + Number of BRAMs: 1 out of 16 6% + Number of GCLKs: 3 out of 24 12% + +--------------------------- +Partition Resource Summary: +--------------------------- + + No Partitions were found in this design. + +--------------------------- + + +========================================================================= +TIMING REPORT + +NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. + FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT + GENERATED AFTER PLACE-and-ROUTE. + +Clock Information: +------------------ +----------------------------------------------------+---------------------------+-------+ +Clock Signal | Clock buffer(FF name) | Load | +----------------------------------------------------+---------------------------+-------+ +ss/clock_ss(ss/clock_ss_f5:O) | NONE(*)(ss/clock_disable) | 1 | +LED_0_OBUF1(ss/clock_out1:O) | BUFG(*)(counter16/count_0)| 454 | +CLK | BUFGP | 27 | +nIoEnable(nIoEnable1:O) | NONE(*)(io/d_out_7) | 8 | +io/direct_out_0_not0001(io/direct_out_0_not00011:O) | NONE(*)(io/ports_0_7) | 16 | +io/direct_out_10_cmp_eq0000(io/d_out_mux0001<0>21:O)| NONE(*)(io/ports_1_7) | 16 | +io/ports_2_cmp_eq0000(io/ports_2_cmp_eq00001:O) | NONE(*)(io/ports_2_7) | 8 | +io/ports_3_cmp_eq0000(io/ports_3_cmp_eq00001:O) | NONE(*)(io/ports_3_7) | 8 | +io/ports_4_cmp_eq0000(io/ports_4_cmp_eq00001:O) | NONE(*)(io/ports_4_7) | 8 | +io/ports_5_cmp_eq0000(io/ports_5_cmp_eq00001:O) | NONE(*)(io/ports_5_7) | 8 | +io/ports_6_cmp_eq0000(io/ports_6_cmp_eq00001:O) | NONE(*)(io/ports_6_7) | 8 | +io/ports_7_cmp_eq0000(io/ports_7_cmp_eq00001:O) | NONE(*)(io/ports_7_7) | 8 | +io/ports_8_cmp_eq0000(io/ports_8_cmp_eq00001:O) | NONE(*)(io/ports_8_7) | 8 | +io/ports_9_cmp_eq0000(io/ports_9_cmp_eq0000_f5:O) | NONE(*)(io/ports_9_7) | 8 | +io/ports_10_cmp_eq0000(io/ports_10_cmp_eq00001:O) | NONE(*)(io/ports_10_7) | 8 | +io/ports_11_cmp_eq0000(io/ports_11_cmp_eq00001:O) | NONE(*)(io/ports_11_7) | 8 | +io/ports_12_cmp_eq0000(io/ports_12_cmp_eq0000_f5:O) | NONE(*)(io/ports_12_7) | 8 | +io/ports_13_cmp_eq0000(io/ports_13_cmp_eq00001:O) | NONE(*)(io/ports_13_7) | 8 | +io/ports_14_cmp_eq0000(io/ports_14_cmp_eq0000_f5:O) | NONE(*)(io/ports_14_7) | 8 | +io/ports_15_cmp_eq0000(io/ports_15_cmp_eq00001:O) | NONE(*)(io/ports_15_7) | 8 | +one_sec/cnt_41 | BUFG | 108 | +----------------------------------------------------+---------------------------+-------+ +(*) These 19 clock signal(s) are generated by combinatorial logic, +and XST is not able to identify which are the primary clock signals. +Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic. +INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + +Asynchronous Control Signals Information: +---------------------------------------- +-----------------------------------------------------+------------------------+-------+ +Control Signal | Buffer(FF name) | Load | +-----------------------------------------------------+------------------------+-------+ +N0(XST_GND:G) | NONE(cpu/u10304/q_0) | 308 | +USR_BTN | IBUF | 139 | +ss/clock_disable_and0000(ss/clock_disable_and00001:O)| NONE(ss/clock_disable) | 1 | +ss/clock_disable_and0001(ss/clock_disable_and00011:O)| NONE(ss/clock_disable) | 1 | +-----------------------------------------------------+------------------------+-------+ + +Timing Summary: +--------------- +Speed Grade: -4 + + Minimum period: 166.452ns (Maximum Frequency: 6.008MHz) + Minimum input arrival time before clock: 12.249ns + Maximum output required time after clock: 172.919ns + Maximum combinational path delay: 13.258ns + +Timing Detail: +-------------- +All values displayed in nanoseconds (ns) + +========================================================================= +Timing constraint: Default period analysis for Clock 'ss/clock_ss' + Clock period: 2.165ns (frequency: 461.894MHz) + Total number of paths / destination ports: 1 / 1 +------------------------------------------------------------------------- +Delay: 2.165ns (Levels of Logic = 1) + Source: ss/clock_disable (FF) + Destination: ss/clock_disable (FF) + Source Clock: ss/clock_ss rising + Destination Clock: ss/clock_ss rising + + Data Path: ss/clock_disable to ss/clock_disable + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDCP:C->Q 3 0.591 0.674 ss/clock_disable (ss/clock_disable) + LUT2:I0->O 1 0.648 0.000 ss/clock_disable_and00021 (ss/clock_disable_and0002) + FDCP:D 0.252 ss/clock_disable + ---------------------------------------- + Total 2.165ns (1.491ns logic, 0.674ns route) + (68.9% logic, 31.1% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'LED_0_OBUF1' + Clock period: 166.452ns (frequency: 6.008MHz) + Total number of paths / destination ports: 194590092752877 / 840 +------------------------------------------------------------------------- +Delay: 166.452ns (Levels of Logic = 172) + Source: cpu/u4142/q_6 (FF) + Destination: cpu/u43/u2/u1/q_temp_1 (FF) + Source Clock: LED_0_OBUF1 rising + Destination Clock: LED_0_OBUF1 rising + + Data Path: cpu/u4142/q_6 to cpu/u43/u2/u1/q_temp_1 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDR:C->Q 5 0.591 0.665 cpu/u4142/q_6 (cpu/u4142/q_6) + LUT3_D:I2->LO 1 0.648 0.132 led_bus<5>110 (N842) + LUT3:I2->O 12 0.648 0.961 cpu/debug_a_hop<1>1_1 (cpu/debug_a_hop<1>1) + MUXF5:S->O 1 0.756 0.000 cpu/u43/u1/Mmux_ad_6_f5 (cpu/u43/u1/Mmux_ad_6_f5) + MUXF6:I0->O 1 0.291 0.000 cpu/u43/u1/Mmux_ad_4_f6 (cpu/u43/u1/Mmux_ad_4_f6) + MUXF7:I0->O 4 0.291 0.590 cpu/u43/u1/Mmux_ad_2_f7 (cpu/u43/ad<0>) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u3/Mmux_s_3 (cpu/u43/u3/Mmux_s_3) + MUXF5:I1->O 6 0.276 0.672 cpu/u43/u3/Mmux_s_2_f5 (cpu/u43/u3/s<0>1) + LUT4_D:I3->O 10 0.648 0.914 cpu/u43/u4/Mmux_f1_6_f5_SW1 (N417) + LUT3:I2->O 5 0.648 0.636 cpu/u43/u4/Mmux_f1_6_f5 (cpu/u43/u4/Mmux_f1_6_f5) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u5/y<0>LogicTrst11 (cpu/u43/u5/y<0>LogicTrst1) + MUXF5:I0->O 4 0.276 0.667 cpu/u43/u5/y<0>LogicTrst1_f5 (cpu/am2901_y<0>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<0>171 (cpu/u33/u3/r<0>17) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<0>17_f5 (cpu/u33/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<0> (cpu/u33/u4/Madd_f1_share0001_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u33/u4/Madd_f1_share0001_cy<0> (cpu/u33/u4/Madd_f1_share0001_cy<0>) + XORCY:CI->O 1 0.844 0.452 cpu/u33/u4/Madd_f1_share0001_xor<1> (cpu/u33/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<1>1 (cpu/u33/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<1> (cpu/u33/u4/Madd_f1_addsub0000_lut<1>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<1> (cpu/u33/u4/f1_addsub0000<1>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>128 (cpu/u33/u4/alu_ctl<2>128) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>135 (N858) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<1>LogicTrst1 (cpu/am2901_y<9>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<1>1 (cpu/u43/u3/r<1>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<1>_f5 (cpu/u43/r<1>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<1> (cpu/u43/u4/Madd_f1_share0001_lut<1>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<1> (cpu/u43/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<1>1 (cpu/u43/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<1> (cpu/u43/u4/Madd_f1_addsub0000_lut<1>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<1> (cpu/u43/u4/Madd_f1_addsub0000_cy<1>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<2> (cpu/u43/u4/f1_addsub0000<2>) + LUT3:I0->O 1 0.648 0.000 cpu/u43/u4/alu_ctl<2>2372 (cpu/u43/u4/alu_ctl<2>2371) + MUXF5:I0->O 8 0.276 0.837 cpu/u43/u4/alu_ctl<2>237_f5 (cpu/u43/f<2>) + LUT3:I1->O 4 0.643 0.667 cpu/u43/u5/y<2>LogicTrst1 (cpu/am2901_y<2>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<2>1 (cpu/u33/u3/r<2>) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<2>_f5 (cpu/u33/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<2> (cpu/u33/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u33/u4/Madd_f1_share0001_xor<2> (cpu/u33/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<2>1 (cpu/u33/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<2> (cpu/u33/u4/Madd_f1_addsub0000_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<2> (cpu/u33/u4/f1_addsub0000<2>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>228 (cpu/u33/u4/alu_ctl<2>228) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>235 (N857) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<2>LogicTrst1 (cpu/am2901_y<10>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<2>1 (cpu/u43/u3/r<2>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<2>_f5 (cpu/u43/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<2> (cpu/u43/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<2> (cpu/u43/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<2>1 (cpu/u43/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<2> (cpu/u43/u4/Madd_f1_addsub0000_lut<2>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<2> (cpu/u43/u4/Madd_f1_addsub0000_cy<2>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<3> (cpu/u43/u4/f1_addsub0000<3>) + LUT3:I0->O 1 0.648 0.563 cpu/u43/u4/alu_ctl<2>317 (cpu/u43/u4/alu_ctl<2>317) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u43/u4/alu_ctl<2>337 (N850) + LUT3:I1->O 4 0.643 0.667 cpu/u43/u5/y<3>LogicTrst1 (cpu/am2901_y<3>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<3>1 (cpu/u33/u3/r<3>) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<3>_f5 (cpu/u33/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<3> (cpu/u33/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u33/u4/Madd_f1_share0001_xor<3> (cpu/u33/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<3>1 (cpu/u33/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<3> (cpu/u33/u4/Madd_f1_addsub0000_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<3> (cpu/u33/u4/f1_addsub0000<3>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>328 (cpu/u33/u4/alu_ctl<2>328) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>335 (N856) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<3>LogicTrst1 (cpu/am2901_y<11>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<3>1 (cpu/u43/u3/r<3>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<3>_f5 (cpu/u43/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<3> (cpu/u43/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<3> (cpu/u43/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<3>1 (cpu/u43/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<3> (cpu/u43/u4/Madd_f1_addsub0000_lut<3>) + MUXCY:S->O 0 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<3> (cpu/u43/u4/Madd_f1_addsub0000_cy<3>) + XORCY:CI->O 3 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<4> (cpu/u43/u4/f1_addsub0000<4>) + LUT4_D:I2->LO 1 0.648 0.243 cpu/u43/u4/Mmux_f121 (N844) + LUT4:I0->O 1 0.648 0.000 cpu/u44/u4/f1_mux00031 (cpu/u44/u4/f1_mux0003) + XORCY:CI->O 1 0.844 0.563 cpu/u44/u4/Madd_f1_addsub0000_xor<0> (cpu/u44/u4/f1_addsub0000<0>) + LUT3:I0->O 1 0.648 0.563 cpu/u44/u4/alu_ctl<2>171 (cpu/u44/u4/alu_ctl<2>171) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>371 (N849) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<0>LogicTrst1 (cpu/am2901_y<4>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<0>1 (cpu/u34/u3/r<0>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<0>_f5 (cpu/u34/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<0> (cpu/u34/u4/Madd_f1_share0001_lut<0>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<0> (cpu/u34/u4/f1_share0001<0>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<0> (cpu/u34/u4/Madd_f1_addsub0000_lut<0>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<0> (cpu/u34/u4/f1_addsub0000<0>) + LUT4:I3->O 2 0.648 0.527 cpu/u34/u4/alu_ctl<2>281 (cpu/u34/u4/alu_ctl<2>281) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u34/u4/alu_ctl<2>351 (N855) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<0>LogicTrst1 (cpu/am2901_y<12>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<0>1 (cpu/u44/u3/r<0>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<0>_f5 (cpu/u44/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<0> (cpu/u44/u4/Madd_f1_share0001_lut<0>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<0> (cpu/u44/u4/f1_share0001<0>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<0>1 (cpu/u44/u4/f1_mux0002<0>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<0> (cpu/u44/u4/Madd_f1_addsub0000_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<0> (cpu/u44/u4/Madd_f1_addsub0000_cy<0>) + XORCY:CI->O 1 0.844 0.563 cpu/u44/u4/Madd_f1_addsub0000_xor<1> (cpu/u44/u4/f1_addsub0000<1>) + LUT3:I0->O 1 0.648 0.563 cpu/u44/u4/alu_ctl<2>117 (cpu/u44/u4/alu_ctl<2>117) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>137 (N848) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<1>LogicTrst1 (cpu/am2901_y<5>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<1>1 (cpu/u34/u3/r<1>) + MUXF5:I1->O 6 0.276 0.749 cpu/u34/u3/r<1>_f5 (cpu/u34/r<1>) + LUT2:I1->O 1 0.643 0.452 cpu/u34/u4/Mxor_f1_xor0000_Result_and0000<1>1 (cpu/u34/u4/Mxor_f1_xor0000_Result_and0000<1>) + LUT3:I2->O 2 0.648 0.450 cpu/u34/u4/Mmux_f1_81 (cpu/u34/u4/Mmux_f1_81) + LUT4_D:I3->LO 1 0.648 0.132 cpu/u34/u4/alu_ctl<2>10 (N859) + LUT3:I2->O 1 0.648 0.423 cpu/u34/u4/alu_ctl<2>128_SW01 (N436) + LUT4_L:I3->LO 1 0.648 0.180 cpu/u44/u3/r<1>_SW0 (N250) + LUT4:I1->O 7 0.643 0.740 cpu/u44/u3/r<1> (cpu/u44/r<1>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<1> (cpu/u44/u4/Madd_f1_share0001_lut<1>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<1> (cpu/u44/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<1>1 (cpu/u44/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<1> (cpu/u44/u4/Madd_f1_addsub0000_lut<1>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<1> (cpu/u44/u4/Madd_f1_addsub0000_cy<1>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<2> (cpu/u44/u4/f1_addsub0000<2>) + LUT4:I3->O 1 0.648 0.452 cpu/u44/u4/alu_ctl<2>26 (cpu/u44/u4/alu_ctl<2>26) + LUT4_D:I2->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>238 (N847) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<2>LogicTrst1 (cpu/am2901_y<6>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<2>1 (cpu/u34/u3/r<2>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<2>_f5 (cpu/u34/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<2> (cpu/u34/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<2> (cpu/u34/u4/f1_share0001<2>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<2> (cpu/u34/u4/Madd_f1_addsub0000_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<2> (cpu/u34/u4/f1_addsub0000<2>) + LUT4:I3->O 3 0.648 0.611 cpu/u34/u4/alu_ctl<2>228 (cpu/u34/u4/alu_ctl<2>228) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u34/u4/alu_ctl<2>235 (N852) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<2>LogicTrst1 (cpu/am2901_y<14>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<2>1 (cpu/u44/u3/r<2>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<2>_f5 (cpu/u44/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<2> (cpu/u44/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<2> (cpu/u44/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<2>1 (cpu/u44/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<2> (cpu/u44/u4/Madd_f1_addsub0000_lut<2>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<2> (cpu/u44/u4/Madd_f1_addsub0000_cy<2>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<3> (cpu/u44/u4/f1_addsub0000<3>) + LUT4:I3->O 1 0.648 0.452 cpu/u44/u4/alu_ctl<2>36 (cpu/u44/u4/alu_ctl<2>36) + LUT4_D:I2->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>338 (N846) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<3>LogicTrst1 (cpu/am2901_y<7>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<3>1 (cpu/u34/u3/r<3>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<3>_f5 (cpu/u34/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<3> (cpu/u34/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<3> (cpu/u34/u4/f1_share0001<3>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<3> (cpu/u34/u4/Madd_f1_addsub0000_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<3> (cpu/u34/u4/f1_addsub0000<3>) + LUT4_D:I3->LO 1 0.648 0.180 cpu/u34/u4/alu_ctl<2>328 (N8511) + LUT2:I1->O 6 0.643 0.749 cpu/u34/u4/alu_ctl<2>335 (cpu/am2901_f15) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<3>LogicTrst1 (cpu/am2901_y<15>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<3>1 (cpu/u44/u3/r<3>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<3>_f5 (cpu/u44/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<3> (cpu/u44/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<3> (cpu/u44/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<3>1 (cpu/u44/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<3> (cpu/u44/u4/Madd_f1_addsub0000_lut<3>) + MUXCY:S->O 0 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<3> (cpu/u44/u4/Madd_f1_addsub0000_cy<3>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<4> (cpu/u44/u4/f1_addsub0000<4>) + LUT4:I3->O 1 0.648 0.000 cpu/u64/y_internal<1>372 (cpu/u64/y_internal<1>371) + MUXF5:I0->O 8 0.276 0.900 cpu/u64/y_internal<1>37_f5 (cpu/u64/y_internal<1>) + LUT4:I0->O 1 0.648 0.000 cpu/u33/u4/f1_mux00031 (cpu/u33/u4/f1_mux0003) + XORCY:CI->O 1 0.844 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<0> (cpu/u33/u4/f1_addsub0000<0>) + LUT4:I3->O 1 0.648 0.452 cpu/u33/u4/alu_ctl<2>6 (cpu/u33/u4/alu_ctl<2>6) + LUT4:I2->O 9 0.648 0.900 cpu/u33/u4/alu_ctl<2>381 (cpu/u33/f<0>) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<0>LogicTrst1 (cpu/am2901_y<8>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<0>1 (cpu/u43/u3/r<0>) + MUXF5:I1->O 12 0.276 1.041 cpu/u43/u3/r<0>_f5 (cpu/u43/r<0>) + LUT3:I1->O 1 0.643 0.500 cpu/u43/u4/f1_mux0002<0>1 (cpu/u43/u4/f1_mux0002<0>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<0> (cpu/u43/u4/Madd_f1_addsub0000_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<0> (cpu/u43/u4/Madd_f1_addsub0000_cy<0>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<1> (cpu/u43/u4/f1_addsub0000<1>) + LUT3:I0->O 1 0.648 0.000 cpu/u43/u4/alu_ctl<2>1372 (cpu/u43/u4/alu_ctl<2>1371) + MUXF5:I0->O 14 0.276 1.003 cpu/u43/u4/alu_ctl<2>137_f5 (cpu/u43/f<1>) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u2/data<1>11_SW2_F (N490) + MUXF5:I0->O 4 0.276 0.619 cpu/u43/u2/data<1>11_SW2 (N288) + LUT4:I2->O 1 0.648 0.563 cpu/u43/u4/Mmux_f1_6_f5_SW8 (N433) + LUT4:I0->O 1 0.648 0.000 cpu/u43/u1/data<1>1_F (N826) + MUXF5:I0->O 16 0.276 0.000 cpu/u43/u1/data<1>1 (cpu/u43/u1/data<1>) + FDCE:D 0.252 cpu/u43/u1/gen[0].ram/q_temp_1 + ---------------------------------------- + Total 166.452ns (106.954ns logic, 59.498ns route) + (64.3% logic, 35.7% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'CLK' + Clock period: 5.480ns (frequency: 182.482MHz) + Total number of paths / destination ports: 603 / 39 +------------------------------------------------------------------------- +Delay: 5.480ns (Levels of Logic = 16) + Source: one_sec/count_1 (FF) + Destination: one_sec/count_14 (FF) + Source Clock: CLK rising + Destination Clock: CLK rising + + Data Path: one_sec/count_1 to one_sec/count_14 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDC:C->Q 2 0.591 0.590 one_sec/count_1 (one_sec/count_1) + LUT1:I0->O 1 0.648 0.000 one_sec/Mcount_count_cy<1>_rt (one_sec/Mcount_count_cy<1>_rt) + MUXCY:S->O 1 0.632 0.000 one_sec/Mcount_count_cy<1> (one_sec/Mcount_count_cy<1>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<2> (one_sec/Mcount_count_cy<2>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<3> (one_sec/Mcount_count_cy<3>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<4> (one_sec/Mcount_count_cy<4>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<5> (one_sec/Mcount_count_cy<5>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<6> (one_sec/Mcount_count_cy<6>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<7> (one_sec/Mcount_count_cy<7>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<8> (one_sec/Mcount_count_cy<8>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<9> (one_sec/Mcount_count_cy<9>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<10> (one_sec/Mcount_count_cy<10>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<11> (one_sec/Mcount_count_cy<11>) + MUXCY:CI->O 1 0.065 0.000 one_sec/Mcount_count_cy<12> (one_sec/Mcount_count_cy<12>) + MUXCY:CI->O 0 0.065 0.000 one_sec/Mcount_count_cy<13> (one_sec/Mcount_count_cy<13>) + XORCY:CI->O 1 0.844 0.500 one_sec/Mcount_count_xor<14> (Result<14>) + LUT2:I1->O 1 0.643 0.000 one_sec/Mcount_count_eqn_141 (one_sec/Mcount_count_eqn_14) + FDC:D 0.252 one_sec/count_14 + ---------------------------------------- + Total 5.480ns (4.390ns logic, 1.090ns route) + (80.1% logic, 19.9% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/direct_out_0_not0001' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_0_7 (LATCH) + Destination: io/ports_0_7 (LATCH) + Source Clock: io/direct_out_0_not0001 rising + Destination Clock: io/direct_out_0_not0001 rising + + Data Path: io/ports_0_7 to io/ports_0_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE_1:G->Q 2 0.728 0.527 io/ports_0_7 (io/ports_0_7) + LUT3:I1->O 1 0.643 0.000 io/ports_0_mux0000<7>1 (io/ports_0_mux0000<7>) + LDE_1:D 0.252 io/ports_0_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/direct_out_10_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_1_7 (LATCH) + Destination: io/ports_1_7 (LATCH) + Source Clock: io/direct_out_10_cmp_eq0000 falling + Destination Clock: io/direct_out_10_cmp_eq0000 falling + + Data Path: io/ports_1_7 to io/ports_1_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_1_7 (io/ports_1_7) + LUT3:I1->O 1 0.643 0.000 io/ports_1_mux0000<7>1 (io/ports_1_mux0000<7>) + LDE:D 0.252 io/ports_1_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_2_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_2_7 (LATCH) + Destination: io/ports_2_7 (LATCH) + Source Clock: io/ports_2_cmp_eq0000 falling + Destination Clock: io/ports_2_cmp_eq0000 falling + + Data Path: io/ports_2_7 to io/ports_2_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_2_7 (io/ports_2_7) + LUT3:I1->O 1 0.643 0.000 io/ports_2_mux0000<7>1 (io/ports_2_mux0000<7>) + LDE:D 0.252 io/ports_2_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_3_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_3_7 (LATCH) + Destination: io/ports_3_7 (LATCH) + Source Clock: io/ports_3_cmp_eq0000 falling + Destination Clock: io/ports_3_cmp_eq0000 falling + + Data Path: io/ports_3_7 to io/ports_3_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_3_7 (io/ports_3_7) + LUT3:I1->O 1 0.643 0.000 io/ports_3_mux0000<7>1 (io/ports_3_mux0000<7>) + LDE:D 0.252 io/ports_3_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_4_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_4_7 (LATCH) + Destination: io/ports_4_7 (LATCH) + Source Clock: io/ports_4_cmp_eq0000 falling + Destination Clock: io/ports_4_cmp_eq0000 falling + + Data Path: io/ports_4_7 to io/ports_4_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_4_7 (io/ports_4_7) + LUT3:I1->O 1 0.643 0.000 io/ports_4_mux0000<7>1 (io/ports_4_mux0000<7>) + LDE:D 0.252 io/ports_4_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_5_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_5_7 (LATCH) + Destination: io/ports_5_7 (LATCH) + Source Clock: io/ports_5_cmp_eq0000 falling + Destination Clock: io/ports_5_cmp_eq0000 falling + + Data Path: io/ports_5_7 to io/ports_5_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_5_7 (io/ports_5_7) + LUT3:I1->O 1 0.643 0.000 io/ports_5_mux0000<7>1 (io/ports_5_mux0000<7>) + LDE:D 0.252 io/ports_5_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_6_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_6_7 (LATCH) + Destination: io/ports_6_7 (LATCH) + Source Clock: io/ports_6_cmp_eq0000 falling + Destination Clock: io/ports_6_cmp_eq0000 falling + + Data Path: io/ports_6_7 to io/ports_6_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_6_7 (io/ports_6_7) + LUT3:I1->O 1 0.643 0.000 io/ports_6_mux0000<7>1 (io/ports_6_mux0000<7>) + LDE:D 0.252 io/ports_6_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_7_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_7_7 (LATCH) + Destination: io/ports_7_7 (LATCH) + Source Clock: io/ports_7_cmp_eq0000 falling + Destination Clock: io/ports_7_cmp_eq0000 falling + + Data Path: io/ports_7_7 to io/ports_7_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_7_7 (io/ports_7_7) + LUT3:I1->O 1 0.643 0.000 io/ports_7_mux0000<7>1 (io/ports_7_mux0000<7>) + LDE:D 0.252 io/ports_7_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_8_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_8_7 (LATCH) + Destination: io/ports_8_7 (LATCH) + Source Clock: io/ports_8_cmp_eq0000 falling + Destination Clock: io/ports_8_cmp_eq0000 falling + + Data Path: io/ports_8_7 to io/ports_8_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_8_7 (io/ports_8_7) + LUT3:I1->O 1 0.643 0.000 io/ports_8_mux0000<7>1 (io/ports_8_mux0000<7>) + LDE:D 0.252 io/ports_8_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_9_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_9_7 (LATCH) + Destination: io/ports_9_7 (LATCH) + Source Clock: io/ports_9_cmp_eq0000 falling + Destination Clock: io/ports_9_cmp_eq0000 falling + + Data Path: io/ports_9_7 to io/ports_9_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_9_7 (io/ports_9_7) + LUT3:I1->O 1 0.643 0.000 io/ports_9_mux0000<7>1 (io/ports_9_mux0000<7>) + LDE:D 0.252 io/ports_9_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_10_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_10_7 (LATCH) + Destination: io/ports_10_7 (LATCH) + Source Clock: io/ports_10_cmp_eq0000 falling + Destination Clock: io/ports_10_cmp_eq0000 falling + + Data Path: io/ports_10_7 to io/ports_10_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_10_7 (io/ports_10_7) + LUT3:I1->O 1 0.643 0.000 io/ports_10_mux0000<7>1 (io/ports_10_mux0000<7>) + LDE:D 0.252 io/ports_10_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_11_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_11_7 (LATCH) + Destination: io/ports_11_7 (LATCH) + Source Clock: io/ports_11_cmp_eq0000 falling + Destination Clock: io/ports_11_cmp_eq0000 falling + + Data Path: io/ports_11_7 to io/ports_11_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_11_7 (io/ports_11_7) + LUT3:I1->O 1 0.643 0.000 io/ports_11_mux0000<7>1 (io/ports_11_mux0000<7>) + LDE:D 0.252 io/ports_11_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_12_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_12_7 (LATCH) + Destination: io/ports_12_7 (LATCH) + Source Clock: io/ports_12_cmp_eq0000 falling + Destination Clock: io/ports_12_cmp_eq0000 falling + + Data Path: io/ports_12_7 to io/ports_12_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_12_7 (io/ports_12_7) + LUT3:I1->O 1 0.643 0.000 io/ports_12_mux0000<7>1 (io/ports_12_mux0000<7>) + LDE:D 0.252 io/ports_12_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_13_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_13_7 (LATCH) + Destination: io/ports_13_7 (LATCH) + Source Clock: io/ports_13_cmp_eq0000 falling + Destination Clock: io/ports_13_cmp_eq0000 falling + + Data Path: io/ports_13_7 to io/ports_13_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_13_7 (io/ports_13_7) + LUT3:I1->O 1 0.643 0.000 io/ports_13_mux0000<7>1 (io/ports_13_mux0000<7>) + LDE:D 0.252 io/ports_13_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_14_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_14_7 (LATCH) + Destination: io/ports_14_7 (LATCH) + Source Clock: io/ports_14_cmp_eq0000 falling + Destination Clock: io/ports_14_cmp_eq0000 falling + + Data Path: io/ports_14_7 to io/ports_14_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_14_7 (io/ports_14_7) + LUT3:I1->O 1 0.643 0.000 io/ports_14_mux0000<7>1 (io/ports_14_mux0000<7>) + LDE:D 0.252 io/ports_14_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'io/ports_15_cmp_eq0000' + Clock period: 2.150ns (frequency: 465.116MHz) + Total number of paths / destination ports: 8 / 8 +------------------------------------------------------------------------- +Delay: 2.150ns (Levels of Logic = 1) + Source: io/ports_15_7 (LATCH) + Destination: io/ports_15_7 (LATCH) + Source Clock: io/ports_15_cmp_eq0000 falling + Destination Clock: io/ports_15_cmp_eq0000 falling + + Data Path: io/ports_15_7 to io/ports_15_7 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 2 0.728 0.527 io/ports_15_7 (io/ports_15_7) + LUT3:I1->O 1 0.643 0.000 io/ports_15_mux0000<7>1 (io/ports_15_mux0000<7>) + LDE:D 0.252 io/ports_15_7 + ---------------------------------------- + Total 2.150ns (1.623ns logic, 0.527ns route) + (75.5% logic, 24.5% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'one_sec/cnt_41' + Clock period: 4.413ns (frequency: 226.603MHz) + Total number of paths / destination ports: 276 / 108 +------------------------------------------------------------------------- +Delay: 4.413ns (Levels of Logic = 2) + Source: debouncer_btn/d0/shifter_3 (FF) + Destination: debouncer_btn/d0/debounced (FF) + Source Clock: one_sec/cnt_41 rising + Destination Clock: one_sec/cnt_41 rising + + Data Path: debouncer_btn/d0/shifter_3 to debouncer_btn/d0/debounced + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDP:C->Q 3 0.591 0.674 debouncer_btn/d0/shifter_3 (debouncer_btn/d0/shifter_3) + LUT4:I0->O 1 0.648 0.563 debouncer_btn/d0/all1_cmp_eq00004 (debouncer_btn/d0/all1_cmp_eq00004) + LUT2:I0->O 1 0.648 0.420 debouncer_btn/d0/all1_cmp_eq000010 (debouncer_btn/d0/all1) + FDSE:S 0.869 debouncer_btn/d0/debounced + ---------------------------------------- + Total 4.413ns (2.756ns logic, 1.657ns route) + (62.5% logic, 37.5% route) + +========================================================================= +Timing constraint: Default OFFSET IN BEFORE for Clock 'LED_0_OBUF1' + Total number of paths / destination ports: 6147 / 99 +------------------------------------------------------------------------- +Offset: 12.249ns (Levels of Logic = 8) + Source: USR_BTN (PAD) + Destination: cpu/u3241/q_5 (FF) + Destination Clock: LED_0_OBUF1 rising + + Data Path: USR_BTN to cpu/u3241/q_5 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + IBUF:I->O 233 0.849 1.469 USR_BTN_IBUF (USR_BTN_IBUF) + LUT2:I0->O 374 0.648 1.513 nReset41 (LED_1_OBUF) + LUT4:I0->O 10 0.648 1.025 cpu/microcode_rom/Mrom_data_rom000012521 (N185) + LUT4:I0->O 3 0.648 0.611 cpu/microcode_rom/Mrom_data_rom00001401 (cpu/microcode_rom/Mrom_data_rom0000140) + LUT4:I1->O 6 0.643 0.749 cpu/microcode_rom/Mrom_data_rom00004281_111 (cpu/microcode_rom/Mrom_data_rom00001441_111) + LUT2:I1->O 1 0.643 0.000 cpu/microcode_rom/Mrom_data_rom00002971_10_f511 (cpu/microcode_rom/Mrom_data_rom00002971_10_f51) + MUXF5:I1->O 2 0.276 0.590 cpu/microcode_rom/Mrom_data_rom00002971_10_f51_f5 (cpu/microcode_rom/Mrom_data_rom00002541_10_f5) + LUT4:I0->O 1 0.648 0.420 cpu/ma<8>975 (cpu/ma<8>975) + FDS:S 0.869 cpu/u3241/q_5 + ---------------------------------------- + Total 12.249ns (5.872ns logic, 6.377ns route) + (47.9% logic, 52.1% route) + +========================================================================= +Timing constraint: Default OFFSET IN BEFORE for Clock 'one_sec/cnt_41' + Total number of paths / destination ports: 12 / 12 +------------------------------------------------------------------------- +Offset: 1.521ns (Levels of Logic = 1) + Source: BTN<0> (PAD) + Destination: debouncer_btn/d0/shifter_0 (FF) + Destination Clock: one_sec/cnt_41 rising + + Data Path: BTN<0> to debouncer_btn/d0/shifter_0 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + IBUF:I->O 1 0.849 0.420 BTN_0_IBUF (BTN_0_IBUF) + FDP:D 0.252 debouncer_btn/d0/shifter_0 + ---------------------------------------- + Total 1.521ns (1.101ns logic, 0.420ns route) + (72.4% logic, 27.6% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'CLK' + Total number of paths / destination ports: 99 / 13 +------------------------------------------------------------------------- +Offset: 9.867ns (Levels of Logic = 5) + Source: one_sec/cnt_1 (FF) + Destination: DOT (PAD) + Source Clock: CLK rising + + Data Path: one_sec/cnt_1 to DOT + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDCE:C->Q 13 0.591 1.063 one_sec/cnt_1 (one_sec/cnt_1) + LUT4:I1->O 1 0.643 0.563 led4x7/segment_7_or0000114 (led4x7/segment_7_or0000114) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_7_or00001231 (led4x7/segment_7_or00001231) + MUXF5:I0->O 1 0.276 0.500 led4x7/segment_7_or0000123_f5 (led4x7/segment_7_or0000123) + LUT4:I1->O 1 0.643 0.420 led4x7/segment_7_or0000162 (DOT_OBUF) + OBUF:I->O 4.520 DOT_OBUF (DOT) + ---------------------------------------- + Total 9.867ns (7.321ns logic, 2.546ns route) + (74.2% logic, 25.8% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'one_sec/cnt_41' + Total number of paths / destination ports: 2486533438636 / 11 +------------------------------------------------------------------------- +Offset: 172.862ns (Levels of Logic = 174) + Source: debouncer_sw/d0/debounced (FF) + Destination: A_TO_G<6> (PAD) + Source Clock: one_sec/cnt_41 rising + + Data Path: debouncer_sw/d0/debounced to A_TO_G<6> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDSE:C->Q 192 0.591 1.393 debouncer_sw/d0/debounced (debouncer_sw/d0/debounced) + LUT3:I1->O 12 0.643 0.961 cpu/debug_a_hop<1>1_1 (cpu/debug_a_hop<1>1) + MUXF5:S->O 1 0.756 0.000 cpu/u43/u1/Mmux_ad_6_f5 (cpu/u43/u1/Mmux_ad_6_f5) + MUXF6:I0->O 1 0.291 0.000 cpu/u43/u1/Mmux_ad_4_f6 (cpu/u43/u1/Mmux_ad_4_f6) + MUXF7:I0->O 4 0.291 0.590 cpu/u43/u1/Mmux_ad_2_f7 (cpu/u43/ad<0>) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u3/Mmux_s_3 (cpu/u43/u3/Mmux_s_3) + MUXF5:I1->O 6 0.276 0.672 cpu/u43/u3/Mmux_s_2_f5 (cpu/u43/u3/s<0>1) + LUT4_D:I3->O 10 0.648 0.914 cpu/u43/u4/Mmux_f1_6_f5_SW1 (N417) + LUT3:I2->O 5 0.648 0.636 cpu/u43/u4/Mmux_f1_6_f5 (cpu/u43/u4/Mmux_f1_6_f5) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u5/y<0>LogicTrst11 (cpu/u43/u5/y<0>LogicTrst1) + MUXF5:I0->O 4 0.276 0.667 cpu/u43/u5/y<0>LogicTrst1_f5 (cpu/am2901_y<0>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<0>171 (cpu/u33/u3/r<0>17) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<0>17_f5 (cpu/u33/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<0> (cpu/u33/u4/Madd_f1_share0001_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u33/u4/Madd_f1_share0001_cy<0> (cpu/u33/u4/Madd_f1_share0001_cy<0>) + XORCY:CI->O 1 0.844 0.452 cpu/u33/u4/Madd_f1_share0001_xor<1> (cpu/u33/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<1>1 (cpu/u33/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<1> (cpu/u33/u4/Madd_f1_addsub0000_lut<1>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<1> (cpu/u33/u4/f1_addsub0000<1>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>128 (cpu/u33/u4/alu_ctl<2>128) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>135 (N858) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<1>LogicTrst1 (cpu/am2901_y<9>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<1>1 (cpu/u43/u3/r<1>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<1>_f5 (cpu/u43/r<1>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<1> (cpu/u43/u4/Madd_f1_share0001_lut<1>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<1> (cpu/u43/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<1>1 (cpu/u43/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<1> (cpu/u43/u4/Madd_f1_addsub0000_lut<1>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<1> (cpu/u43/u4/Madd_f1_addsub0000_cy<1>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<2> (cpu/u43/u4/f1_addsub0000<2>) + LUT3:I0->O 1 0.648 0.000 cpu/u43/u4/alu_ctl<2>2372 (cpu/u43/u4/alu_ctl<2>2371) + MUXF5:I0->O 8 0.276 0.837 cpu/u43/u4/alu_ctl<2>237_f5 (cpu/u43/f<2>) + LUT3:I1->O 4 0.643 0.667 cpu/u43/u5/y<2>LogicTrst1 (cpu/am2901_y<2>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<2>1 (cpu/u33/u3/r<2>) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<2>_f5 (cpu/u33/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<2> (cpu/u33/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u33/u4/Madd_f1_share0001_xor<2> (cpu/u33/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<2>1 (cpu/u33/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<2> (cpu/u33/u4/Madd_f1_addsub0000_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<2> (cpu/u33/u4/f1_addsub0000<2>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>228 (cpu/u33/u4/alu_ctl<2>228) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>235 (N857) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<2>LogicTrst1 (cpu/am2901_y<10>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<2>1 (cpu/u43/u3/r<2>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<2>_f5 (cpu/u43/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<2> (cpu/u43/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<2> (cpu/u43/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<2>1 (cpu/u43/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<2> (cpu/u43/u4/Madd_f1_addsub0000_lut<2>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<2> (cpu/u43/u4/Madd_f1_addsub0000_cy<2>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<3> (cpu/u43/u4/f1_addsub0000<3>) + LUT3:I0->O 1 0.648 0.563 cpu/u43/u4/alu_ctl<2>317 (cpu/u43/u4/alu_ctl<2>317) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u43/u4/alu_ctl<2>337 (N850) + LUT3:I1->O 4 0.643 0.667 cpu/u43/u5/y<3>LogicTrst1 (cpu/am2901_y<3>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<3>1 (cpu/u33/u3/r<3>) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<3>_f5 (cpu/u33/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<3> (cpu/u33/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u33/u4/Madd_f1_share0001_xor<3> (cpu/u33/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<3>1 (cpu/u33/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<3> (cpu/u33/u4/Madd_f1_addsub0000_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<3> (cpu/u33/u4/f1_addsub0000<3>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>328 (cpu/u33/u4/alu_ctl<2>328) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>335 (N856) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<3>LogicTrst1 (cpu/am2901_y<11>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<3>1 (cpu/u43/u3/r<3>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<3>_f5 (cpu/u43/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<3> (cpu/u43/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<3> (cpu/u43/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<3>1 (cpu/u43/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<3> (cpu/u43/u4/Madd_f1_addsub0000_lut<3>) + MUXCY:S->O 0 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<3> (cpu/u43/u4/Madd_f1_addsub0000_cy<3>) + XORCY:CI->O 3 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<4> (cpu/u43/u4/f1_addsub0000<4>) + LUT4_D:I2->LO 1 0.648 0.243 cpu/u43/u4/Mmux_f121 (N844) + LUT4:I0->O 1 0.648 0.000 cpu/u44/u4/f1_mux00031 (cpu/u44/u4/f1_mux0003) + XORCY:CI->O 1 0.844 0.563 cpu/u44/u4/Madd_f1_addsub0000_xor<0> (cpu/u44/u4/f1_addsub0000<0>) + LUT3:I0->O 1 0.648 0.563 cpu/u44/u4/alu_ctl<2>171 (cpu/u44/u4/alu_ctl<2>171) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>371 (N849) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<0>LogicTrst1 (cpu/am2901_y<4>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<0>1 (cpu/u34/u3/r<0>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<0>_f5 (cpu/u34/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<0> (cpu/u34/u4/Madd_f1_share0001_lut<0>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<0> (cpu/u34/u4/f1_share0001<0>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<0> (cpu/u34/u4/Madd_f1_addsub0000_lut<0>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<0> (cpu/u34/u4/f1_addsub0000<0>) + LUT4:I3->O 2 0.648 0.527 cpu/u34/u4/alu_ctl<2>281 (cpu/u34/u4/alu_ctl<2>281) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u34/u4/alu_ctl<2>351 (N855) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<0>LogicTrst1 (cpu/am2901_y<12>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<0>1 (cpu/u44/u3/r<0>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<0>_f5 (cpu/u44/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<0> (cpu/u44/u4/Madd_f1_share0001_lut<0>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<0> (cpu/u44/u4/f1_share0001<0>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<0>1 (cpu/u44/u4/f1_mux0002<0>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<0> (cpu/u44/u4/Madd_f1_addsub0000_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<0> (cpu/u44/u4/Madd_f1_addsub0000_cy<0>) + XORCY:CI->O 1 0.844 0.563 cpu/u44/u4/Madd_f1_addsub0000_xor<1> (cpu/u44/u4/f1_addsub0000<1>) + LUT3:I0->O 1 0.648 0.563 cpu/u44/u4/alu_ctl<2>117 (cpu/u44/u4/alu_ctl<2>117) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>137 (N848) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<1>LogicTrst1 (cpu/am2901_y<5>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<1>1 (cpu/u34/u3/r<1>) + MUXF5:I1->O 6 0.276 0.749 cpu/u34/u3/r<1>_f5 (cpu/u34/r<1>) + LUT2:I1->O 1 0.643 0.452 cpu/u34/u4/Mxor_f1_xor0000_Result_and0000<1>1 (cpu/u34/u4/Mxor_f1_xor0000_Result_and0000<1>) + LUT3:I2->O 2 0.648 0.450 cpu/u34/u4/Mmux_f1_81 (cpu/u34/u4/Mmux_f1_81) + LUT4_D:I3->LO 1 0.648 0.132 cpu/u34/u4/alu_ctl<2>10 (N859) + LUT3:I2->O 1 0.648 0.423 cpu/u34/u4/alu_ctl<2>128_SW01 (N436) + LUT4_L:I3->LO 1 0.648 0.180 cpu/u44/u3/r<1>_SW0 (N250) + LUT4:I1->O 7 0.643 0.740 cpu/u44/u3/r<1> (cpu/u44/r<1>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<1> (cpu/u44/u4/Madd_f1_share0001_lut<1>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<1> (cpu/u44/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<1>1 (cpu/u44/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<1> (cpu/u44/u4/Madd_f1_addsub0000_lut<1>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<1> (cpu/u44/u4/Madd_f1_addsub0000_cy<1>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<2> (cpu/u44/u4/f1_addsub0000<2>) + LUT4:I3->O 1 0.648 0.452 cpu/u44/u4/alu_ctl<2>26 (cpu/u44/u4/alu_ctl<2>26) + LUT4_D:I2->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>238 (N847) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<2>LogicTrst1 (cpu/am2901_y<6>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<2>1 (cpu/u34/u3/r<2>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<2>_f5 (cpu/u34/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<2> (cpu/u34/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<2> (cpu/u34/u4/f1_share0001<2>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<2> (cpu/u34/u4/Madd_f1_addsub0000_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<2> (cpu/u34/u4/f1_addsub0000<2>) + LUT4:I3->O 3 0.648 0.611 cpu/u34/u4/alu_ctl<2>228 (cpu/u34/u4/alu_ctl<2>228) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u34/u4/alu_ctl<2>235 (N852) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<2>LogicTrst1 (cpu/am2901_y<14>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<2>1 (cpu/u44/u3/r<2>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<2>_f5 (cpu/u44/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<2> (cpu/u44/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<2> (cpu/u44/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<2>1 (cpu/u44/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<2> (cpu/u44/u4/Madd_f1_addsub0000_lut<2>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<2> (cpu/u44/u4/Madd_f1_addsub0000_cy<2>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<3> (cpu/u44/u4/f1_addsub0000<3>) + LUT4:I3->O 1 0.648 0.452 cpu/u44/u4/alu_ctl<2>36 (cpu/u44/u4/alu_ctl<2>36) + LUT4_D:I2->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>338 (N846) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<3>LogicTrst1 (cpu/am2901_y<7>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<3>1 (cpu/u34/u3/r<3>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<3>_f5 (cpu/u34/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<3> (cpu/u34/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<3> (cpu/u34/u4/f1_share0001<3>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<3> (cpu/u34/u4/Madd_f1_addsub0000_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<3> (cpu/u34/u4/f1_addsub0000<3>) + LUT4_D:I3->LO 1 0.648 0.180 cpu/u34/u4/alu_ctl<2>328 (N8511) + LUT2:I1->O 6 0.643 0.749 cpu/u34/u4/alu_ctl<2>335 (cpu/am2901_f15) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<3>LogicTrst1 (cpu/am2901_y<15>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<3>1 (cpu/u44/u3/r<3>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<3>_f5 (cpu/u44/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<3> (cpu/u44/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<3> (cpu/u44/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<3>1 (cpu/u44/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<3> (cpu/u44/u4/Madd_f1_addsub0000_lut<3>) + MUXCY:S->O 0 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<3> (cpu/u44/u4/Madd_f1_addsub0000_cy<3>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<4> (cpu/u44/u4/f1_addsub0000<4>) + LUT4:I3->O 1 0.648 0.000 cpu/u64/y_internal<1>372 (cpu/u64/y_internal<1>371) + MUXF5:I0->O 8 0.276 0.900 cpu/u64/y_internal<1>37_f5 (cpu/u64/y_internal<1>) + LUT4:I0->O 1 0.648 0.000 cpu/u33/u4/f1_mux00031 (cpu/u33/u4/f1_mux0003) + XORCY:CI->O 1 0.844 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<0> (cpu/u33/u4/f1_addsub0000<0>) + LUT4:I3->O 1 0.648 0.452 cpu/u33/u4/alu_ctl<2>6 (cpu/u33/u4/alu_ctl<2>6) + LUT4:I2->O 9 0.648 0.900 cpu/u33/u4/alu_ctl<2>381 (cpu/u33/f<0>) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<0>LogicTrst1 (cpu/am2901_y<8>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<0>1 (cpu/u43/u3/r<0>) + MUXF5:I1->O 12 0.276 1.041 cpu/u43/u3/r<0>_f5 (cpu/u43/r<0>) + LUT3:I1->O 1 0.643 0.500 cpu/u43/u4/f1_mux0002<0>1 (cpu/u43/u4/f1_mux0002<0>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<0> (cpu/u43/u4/Madd_f1_addsub0000_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<0> (cpu/u43/u4/Madd_f1_addsub0000_cy<0>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<1> (cpu/u43/u4/f1_addsub0000<1>) + LUT3:I0->O 1 0.648 0.000 cpu/u43/u4/alu_ctl<2>1372 (cpu/u43/u4/alu_ctl<2>1371) + MUXF5:I0->O 14 0.276 1.080 cpu/u43/u4/alu_ctl<2>137_f5 (cpu/u43/f<1>) + LUT3:I1->O 4 0.643 0.619 cpu/u43/u5/y<1>LogicTrst1 (cpu/am2901_y<1>) + LUT3:I2->O 1 0.648 0.000 led_bus<1>28_G (N767) + MUXF5:I1->O 1 0.276 0.500 led_bus<1>28 (led_bus<1>) + LUT3:I1->O 1 0.643 0.000 led4x7/digitmux/Mmux_y_41 (led4x7/digitmux/Mmux_y_41) + MUXF5:I0->O 7 0.276 0.851 led4x7/digitmux/Mmux_y_2_f5_0 (led4x7/digit<1>) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_0_or00001 (led4x7/segment_0_or0000) + MUXF5:I1->O 1 0.276 0.420 led4x7/segment_0_or0000_f5 (A_TO_G_0_OBUF) + OBUF:I->O 4.520 A_TO_G_0_OBUF (A_TO_G<0>) + ---------------------------------------- + Total 172.862ns (111.483ns logic, 61.379ns route) + (64.5% logic, 35.5% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'LED_0_OBUF1' + Total number of paths / destination ports: 6324458767794 / 9 +------------------------------------------------------------------------- +Offset: 172.919ns (Levels of Logic = 175) + Source: cpu/u4142/q_6 (FF) + Destination: A_TO_G<6> (PAD) + Source Clock: LED_0_OBUF1 rising + + Data Path: cpu/u4142/q_6 to A_TO_G<6> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDR:C->Q 5 0.591 0.665 cpu/u4142/q_6 (cpu/u4142/q_6) + LUT3_D:I2->LO 1 0.648 0.132 led_bus<5>110 (N842) + LUT3:I2->O 12 0.648 0.961 cpu/debug_a_hop<1>1_1 (cpu/debug_a_hop<1>1) + MUXF5:S->O 1 0.756 0.000 cpu/u43/u1/Mmux_ad_6_f5 (cpu/u43/u1/Mmux_ad_6_f5) + MUXF6:I0->O 1 0.291 0.000 cpu/u43/u1/Mmux_ad_4_f6 (cpu/u43/u1/Mmux_ad_4_f6) + MUXF7:I0->O 4 0.291 0.590 cpu/u43/u1/Mmux_ad_2_f7 (cpu/u43/ad<0>) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u3/Mmux_s_3 (cpu/u43/u3/Mmux_s_3) + MUXF5:I1->O 6 0.276 0.672 cpu/u43/u3/Mmux_s_2_f5 (cpu/u43/u3/s<0>1) + LUT4_D:I3->O 10 0.648 0.914 cpu/u43/u4/Mmux_f1_6_f5_SW1 (N417) + LUT3:I2->O 5 0.648 0.636 cpu/u43/u4/Mmux_f1_6_f5 (cpu/u43/u4/Mmux_f1_6_f5) + LUT4:I3->O 1 0.648 0.000 cpu/u43/u5/y<0>LogicTrst11 (cpu/u43/u5/y<0>LogicTrst1) + MUXF5:I0->O 4 0.276 0.667 cpu/u43/u5/y<0>LogicTrst1_f5 (cpu/am2901_y<0>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<0>171 (cpu/u33/u3/r<0>17) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<0>17_f5 (cpu/u33/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<0> (cpu/u33/u4/Madd_f1_share0001_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u33/u4/Madd_f1_share0001_cy<0> (cpu/u33/u4/Madd_f1_share0001_cy<0>) + XORCY:CI->O 1 0.844 0.452 cpu/u33/u4/Madd_f1_share0001_xor<1> (cpu/u33/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<1>1 (cpu/u33/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<1> (cpu/u33/u4/Madd_f1_addsub0000_lut<1>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<1> (cpu/u33/u4/f1_addsub0000<1>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>128 (cpu/u33/u4/alu_ctl<2>128) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>135 (N858) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<1>LogicTrst1 (cpu/am2901_y<9>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<1>1 (cpu/u43/u3/r<1>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<1>_f5 (cpu/u43/r<1>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<1> (cpu/u43/u4/Madd_f1_share0001_lut<1>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<1> (cpu/u43/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<1>1 (cpu/u43/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<1> (cpu/u43/u4/Madd_f1_addsub0000_lut<1>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<1> (cpu/u43/u4/Madd_f1_addsub0000_cy<1>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<2> (cpu/u43/u4/f1_addsub0000<2>) + LUT3:I0->O 1 0.648 0.000 cpu/u43/u4/alu_ctl<2>2372 (cpu/u43/u4/alu_ctl<2>2371) + MUXF5:I0->O 8 0.276 0.837 cpu/u43/u4/alu_ctl<2>237_f5 (cpu/u43/f<2>) + LUT3:I1->O 4 0.643 0.667 cpu/u43/u5/y<2>LogicTrst1 (cpu/am2901_y<2>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<2>1 (cpu/u33/u3/r<2>) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<2>_f5 (cpu/u33/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<2> (cpu/u33/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u33/u4/Madd_f1_share0001_xor<2> (cpu/u33/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<2>1 (cpu/u33/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<2> (cpu/u33/u4/Madd_f1_addsub0000_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<2> (cpu/u33/u4/f1_addsub0000<2>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>228 (cpu/u33/u4/alu_ctl<2>228) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>235 (N857) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<2>LogicTrst1 (cpu/am2901_y<10>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<2>1 (cpu/u43/u3/r<2>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<2>_f5 (cpu/u43/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<2> (cpu/u43/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<2> (cpu/u43/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<2>1 (cpu/u43/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<2> (cpu/u43/u4/Madd_f1_addsub0000_lut<2>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<2> (cpu/u43/u4/Madd_f1_addsub0000_cy<2>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<3> (cpu/u43/u4/f1_addsub0000<3>) + LUT3:I0->O 1 0.648 0.563 cpu/u43/u4/alu_ctl<2>317 (cpu/u43/u4/alu_ctl<2>317) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u43/u4/alu_ctl<2>337 (N850) + LUT3:I1->O 4 0.643 0.667 cpu/u43/u5/y<3>LogicTrst1 (cpu/am2901_y<3>) + LUT4:I1->O 1 0.643 0.000 cpu/u33/u3/r<3>1 (cpu/u33/u3/r<3>) + MUXF5:I1->O 6 0.276 0.701 cpu/u33/u3/r<3>_f5 (cpu/u33/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u33/u4/Madd_f1_share0001_lut<3> (cpu/u33/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u33/u4/Madd_f1_share0001_xor<3> (cpu/u33/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u33/u4/f1_mux0002<3>1 (cpu/u33/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u33/u4/Madd_f1_addsub0000_lut<3> (cpu/u33/u4/Madd_f1_addsub0000_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<3> (cpu/u33/u4/f1_addsub0000<3>) + LUT4:I3->O 2 0.648 0.527 cpu/u33/u4/alu_ctl<2>328 (cpu/u33/u4/alu_ctl<2>328) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u33/u4/alu_ctl<2>335 (N856) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<3>LogicTrst1 (cpu/am2901_y<11>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<3>1 (cpu/u43/u3/r<3>) + MUXF5:I1->O 7 0.276 0.740 cpu/u43/u3/r<3>_f5 (cpu/u43/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u43/u4/Madd_f1_share0001_lut<3> (cpu/u43/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u43/u4/Madd_f1_share0001_xor<3> (cpu/u43/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u43/u4/f1_mux0002<3>1 (cpu/u43/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<3> (cpu/u43/u4/Madd_f1_addsub0000_lut<3>) + MUXCY:S->O 0 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<3> (cpu/u43/u4/Madd_f1_addsub0000_cy<3>) + XORCY:CI->O 3 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<4> (cpu/u43/u4/f1_addsub0000<4>) + LUT4_D:I2->LO 1 0.648 0.243 cpu/u43/u4/Mmux_f121 (N844) + LUT4:I0->O 1 0.648 0.000 cpu/u44/u4/f1_mux00031 (cpu/u44/u4/f1_mux0003) + XORCY:CI->O 1 0.844 0.563 cpu/u44/u4/Madd_f1_addsub0000_xor<0> (cpu/u44/u4/f1_addsub0000<0>) + LUT3:I0->O 1 0.648 0.563 cpu/u44/u4/alu_ctl<2>171 (cpu/u44/u4/alu_ctl<2>171) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>371 (N849) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<0>LogicTrst1 (cpu/am2901_y<4>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<0>1 (cpu/u34/u3/r<0>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<0>_f5 (cpu/u34/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<0> (cpu/u34/u4/Madd_f1_share0001_lut<0>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<0> (cpu/u34/u4/f1_share0001<0>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<0> (cpu/u34/u4/Madd_f1_addsub0000_lut<0>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<0> (cpu/u34/u4/f1_addsub0000<0>) + LUT4:I3->O 2 0.648 0.527 cpu/u34/u4/alu_ctl<2>281 (cpu/u34/u4/alu_ctl<2>281) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u34/u4/alu_ctl<2>351 (N855) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<0>LogicTrst1 (cpu/am2901_y<12>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<0>1 (cpu/u44/u3/r<0>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<0>_f5 (cpu/u44/r<0>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<0> (cpu/u44/u4/Madd_f1_share0001_lut<0>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<0> (cpu/u44/u4/f1_share0001<0>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<0>1 (cpu/u44/u4/f1_mux0002<0>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<0> (cpu/u44/u4/Madd_f1_addsub0000_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<0> (cpu/u44/u4/Madd_f1_addsub0000_cy<0>) + XORCY:CI->O 1 0.844 0.563 cpu/u44/u4/Madd_f1_addsub0000_xor<1> (cpu/u44/u4/f1_addsub0000<1>) + LUT3:I0->O 1 0.648 0.563 cpu/u44/u4/alu_ctl<2>117 (cpu/u44/u4/alu_ctl<2>117) + LUT3_D:I0->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>137 (N848) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<1>LogicTrst1 (cpu/am2901_y<5>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<1>1 (cpu/u34/u3/r<1>) + MUXF5:I1->O 6 0.276 0.749 cpu/u34/u3/r<1>_f5 (cpu/u34/r<1>) + LUT2:I1->O 1 0.643 0.452 cpu/u34/u4/Mxor_f1_xor0000_Result_and0000<1>1 (cpu/u34/u4/Mxor_f1_xor0000_Result_and0000<1>) + LUT3:I2->O 2 0.648 0.450 cpu/u34/u4/Mmux_f1_81 (cpu/u34/u4/Mmux_f1_81) + LUT4_D:I3->LO 1 0.648 0.132 cpu/u34/u4/alu_ctl<2>10 (N859) + LUT3:I2->O 1 0.648 0.423 cpu/u34/u4/alu_ctl<2>128_SW01 (N436) + LUT4_L:I3->LO 1 0.648 0.180 cpu/u44/u3/r<1>_SW0 (N250) + LUT4:I1->O 7 0.643 0.740 cpu/u44/u3/r<1> (cpu/u44/r<1>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<1> (cpu/u44/u4/Madd_f1_share0001_lut<1>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<1> (cpu/u44/u4/f1_share0001<1>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<1>1 (cpu/u44/u4/f1_mux0002<1>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<1> (cpu/u44/u4/Madd_f1_addsub0000_lut<1>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<1> (cpu/u44/u4/Madd_f1_addsub0000_cy<1>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<2> (cpu/u44/u4/f1_addsub0000<2>) + LUT4:I3->O 1 0.648 0.452 cpu/u44/u4/alu_ctl<2>26 (cpu/u44/u4/alu_ctl<2>26) + LUT4_D:I2->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>238 (N847) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<2>LogicTrst1 (cpu/am2901_y<6>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<2>1 (cpu/u34/u3/r<2>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<2>_f5 (cpu/u34/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<2> (cpu/u34/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<2> (cpu/u34/u4/f1_share0001<2>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<2> (cpu/u34/u4/Madd_f1_addsub0000_lut<2>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<2> (cpu/u34/u4/f1_addsub0000<2>) + LUT4:I3->O 3 0.648 0.611 cpu/u34/u4/alu_ctl<2>228 (cpu/u34/u4/alu_ctl<2>228) + LUT2_D:I1->LO 1 0.643 0.180 cpu/u34/u4/alu_ctl<2>235 (N852) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<2>LogicTrst1 (cpu/am2901_y<14>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<2>1 (cpu/u44/u3/r<2>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<2>_f5 (cpu/u44/r<2>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<2> (cpu/u44/u4/Madd_f1_share0001_lut<2>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<2> (cpu/u44/u4/f1_share0001<2>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<2>1 (cpu/u44/u4/f1_mux0002<2>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<2> (cpu/u44/u4/Madd_f1_addsub0000_lut<2>) + MUXCY:S->O 1 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<2> (cpu/u44/u4/Madd_f1_addsub0000_cy<2>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<3> (cpu/u44/u4/f1_addsub0000<3>) + LUT4:I3->O 1 0.648 0.452 cpu/u44/u4/alu_ctl<2>36 (cpu/u44/u4/alu_ctl<2>36) + LUT4_D:I2->LO 1 0.648 0.180 cpu/u44/u4/alu_ctl<2>338 (N846) + LUT3:I1->O 4 0.643 0.667 cpu/u44/u5/y<3>LogicTrst1 (cpu/am2901_y<7>) + LUT4:I1->O 1 0.643 0.000 cpu/u34/u3/r<3>1 (cpu/u34/u3/r<3>) + MUXF5:I1->O 6 0.276 0.701 cpu/u34/u3/r<3>_f5 (cpu/u34/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_share0001_lut<3> (cpu/u34/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_share0001_xor<3> (cpu/u34/u4/f1_share0001<3>) + LUT4:I3->O 1 0.648 0.000 cpu/u34/u4/Madd_f1_addsub0000_lut<3> (cpu/u34/u4/Madd_f1_addsub0000_lut<3>) + XORCY:LI->O 1 0.720 0.423 cpu/u34/u4/Madd_f1_addsub0000_xor<3> (cpu/u34/u4/f1_addsub0000<3>) + LUT4_D:I3->LO 1 0.648 0.180 cpu/u34/u4/alu_ctl<2>328 (N8511) + LUT2:I1->O 6 0.643 0.749 cpu/u34/u4/alu_ctl<2>335 (cpu/am2901_f15) + LUT3:I1->O 5 0.643 0.713 cpu/u34/u5/y<3>LogicTrst1 (cpu/am2901_y<15>) + LUT4:I1->O 1 0.643 0.000 cpu/u44/u3/r<3>1 (cpu/u44/u3/r<3>) + MUXF5:I1->O 7 0.276 0.740 cpu/u44/u3/r<3>_f5 (cpu/u44/r<3>) + LUT3:I2->O 1 0.648 0.000 cpu/u44/u4/Madd_f1_share0001_lut<3> (cpu/u44/u4/Madd_f1_share0001_lut<3>) + XORCY:LI->O 1 0.720 0.452 cpu/u44/u4/Madd_f1_share0001_xor<3> (cpu/u44/u4/f1_share0001<3>) + LUT3:I2->O 1 0.648 0.500 cpu/u44/u4/f1_mux0002<3>1 (cpu/u44/u4/f1_mux0002<3>) + LUT2:I1->O 1 0.643 0.000 cpu/u44/u4/Madd_f1_addsub0000_lut<3> (cpu/u44/u4/Madd_f1_addsub0000_lut<3>) + MUXCY:S->O 0 0.632 0.000 cpu/u44/u4/Madd_f1_addsub0000_cy<3> (cpu/u44/u4/Madd_f1_addsub0000_cy<3>) + XORCY:CI->O 1 0.844 0.423 cpu/u44/u4/Madd_f1_addsub0000_xor<4> (cpu/u44/u4/f1_addsub0000<4>) + LUT4:I3->O 1 0.648 0.000 cpu/u64/y_internal<1>372 (cpu/u64/y_internal<1>371) + MUXF5:I0->O 8 0.276 0.900 cpu/u64/y_internal<1>37_f5 (cpu/u64/y_internal<1>) + LUT4:I0->O 1 0.648 0.000 cpu/u33/u4/f1_mux00031 (cpu/u33/u4/f1_mux0003) + XORCY:CI->O 1 0.844 0.423 cpu/u33/u4/Madd_f1_addsub0000_xor<0> (cpu/u33/u4/f1_addsub0000<0>) + LUT4:I3->O 1 0.648 0.452 cpu/u33/u4/alu_ctl<2>6 (cpu/u33/u4/alu_ctl<2>6) + LUT4:I2->O 9 0.648 0.900 cpu/u33/u4/alu_ctl<2>381 (cpu/u33/f<0>) + LUT3:I1->O 5 0.643 0.713 cpu/u33/u5/y<0>LogicTrst1 (cpu/am2901_y<8>) + LUT4:I1->O 1 0.643 0.000 cpu/u43/u3/r<0>1 (cpu/u43/u3/r<0>) + MUXF5:I1->O 12 0.276 1.041 cpu/u43/u3/r<0>_f5 (cpu/u43/r<0>) + LUT3:I1->O 1 0.643 0.500 cpu/u43/u4/f1_mux0002<0>1 (cpu/u43/u4/f1_mux0002<0>) + LUT2:I1->O 1 0.643 0.000 cpu/u43/u4/Madd_f1_addsub0000_lut<0> (cpu/u43/u4/Madd_f1_addsub0000_lut<0>) + MUXCY:S->O 1 0.632 0.000 cpu/u43/u4/Madd_f1_addsub0000_cy<0> (cpu/u43/u4/Madd_f1_addsub0000_cy<0>) + XORCY:CI->O 1 0.844 0.563 cpu/u43/u4/Madd_f1_addsub0000_xor<1> (cpu/u43/u4/f1_addsub0000<1>) + LUT3:I0->O 1 0.648 0.000 cpu/u43/u4/alu_ctl<2>1372 (cpu/u43/u4/alu_ctl<2>1371) + MUXF5:I0->O 14 0.276 1.080 cpu/u43/u4/alu_ctl<2>137_f5 (cpu/u43/f<1>) + LUT3:I1->O 4 0.643 0.619 cpu/u43/u5/y<1>LogicTrst1 (cpu/am2901_y<1>) + LUT3:I2->O 1 0.648 0.000 led_bus<1>28_G (N767) + MUXF5:I1->O 1 0.276 0.500 led_bus<1>28 (led_bus<1>) + LUT3:I1->O 1 0.643 0.000 led4x7/digitmux/Mmux_y_41 (led4x7/digitmux/Mmux_y_41) + MUXF5:I0->O 7 0.276 0.851 led4x7/digitmux/Mmux_y_2_f5_0 (led4x7/digit<1>) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_0_or00001 (led4x7/segment_0_or0000) + MUXF5:I1->O 1 0.276 0.420 led4x7/segment_0_or0000_f5 (A_TO_G_0_OBUF) + OBUF:I->O 4.520 A_TO_G_0_OBUF (A_TO_G<0>) + ---------------------------------------- + Total 172.919ns (112.136ns logic, 60.783ns route) + (64.8% logic, 35.2% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'nIoEnable' + Total number of paths / destination ports: 56 / 7 +------------------------------------------------------------------------- +Offset: 13.307ns (Levels of Logic = 9) + Source: io/d_out_1 (LATCH) + Destination: A_TO_G<6> (PAD) + Source Clock: nIoEnable rising + + Data Path: io/d_out_1 to A_TO_G<6> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE_1:G->Q 1 0.728 0.563 io/d_out_1 (io/d_out_1) + LUT4:I0->O 1 0.648 0.423 data_bus<1>LogicTrst96_SW0 (N524) + LUT4:I3->O 22 0.648 1.244 data_bus<1>LogicTrst96 (data_bus<1>) + LUT3:I1->O 1 0.643 0.000 led_bus<1>28_F (N766) + MUXF5:I0->O 1 0.276 0.500 led_bus<1>28 (led_bus<1>) + LUT3:I1->O 1 0.643 0.000 led4x7/digitmux/Mmux_y_41 (led4x7/digitmux/Mmux_y_41) + MUXF5:I0->O 7 0.276 0.851 led4x7/digitmux/Mmux_y_2_f5_0 (led4x7/digit<1>) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_0_or00001 (led4x7/segment_0_or0000) + MUXF5:I1->O 1 0.276 0.420 led4x7/segment_0_or0000_f5 (A_TO_G_0_OBUF) + OBUF:I->O 4.520 A_TO_G_0_OBUF (A_TO_G<0>) + ---------------------------------------- + Total 13.307ns (9.306ns logic, 4.001ns route) + (69.9% logic, 30.1% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'io/direct_out_0_not0001' + Total number of paths / destination ports: 56 / 7 +------------------------------------------------------------------------- +Offset: 10.281ns (Levels of Logic = 7) + Source: io/direct_out_1 (LATCH) + Destination: A_TO_G<6> (PAD) + Source Clock: io/direct_out_0_not0001 rising + + Data Path: io/direct_out_1 to A_TO_G<6> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE_1:G->Q 1 0.728 0.500 io/direct_out_1 (io/direct_out_1) + LUT3:I1->O 1 0.643 0.000 led_bus<1>28_G (N767) + MUXF5:I1->O 1 0.276 0.500 led_bus<1>28 (led_bus<1>) + LUT3:I1->O 1 0.643 0.000 led4x7/digitmux/Mmux_y_41 (led4x7/digitmux/Mmux_y_41) + MUXF5:I0->O 7 0.276 0.851 led4x7/digitmux/Mmux_y_2_f5_0 (led4x7/digit<1>) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_0_or00001 (led4x7/segment_0_or0000) + MUXF5:I1->O 1 0.276 0.420 led4x7/segment_0_or0000_f5 (A_TO_G_0_OBUF) + OBUF:I->O 4.520 A_TO_G_0_OBUF (A_TO_G<0>) + ---------------------------------------- + Total 10.281ns (8.010ns logic, 2.271ns route) + (77.9% logic, 22.1% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'io/direct_out_10_cmp_eq0000' + Total number of paths / destination ports: 56 / 7 +------------------------------------------------------------------------- +Offset: 10.281ns (Levels of Logic = 7) + Source: io/direct_out_9 (LATCH) + Destination: A_TO_G<6> (PAD) + Source Clock: io/direct_out_10_cmp_eq0000 falling + + Data Path: io/direct_out_9 to A_TO_G<6> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + LDE:G->Q 1 0.728 0.500 io/direct_out_9 (io/direct_out_9) + LUT3:I1->O 1 0.643 0.000 led_bus<9>1 (led_bus<9>1) + MUXF5:I1->O 1 0.276 0.500 led_bus<9>_f5 (led_bus<9>) + LUT3:I1->O 1 0.643 0.000 led4x7/digitmux/Mmux_y_31 (led4x7/digitmux/Mmux_y_31) + MUXF5:I1->O 7 0.276 0.851 led4x7/digitmux/Mmux_y_2_f5_0 (led4x7/digit<1>) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_0_or00001 (led4x7/segment_0_or0000) + MUXF5:I1->O 1 0.276 0.420 led4x7/segment_0_or0000_f5 (A_TO_G_0_OBUF) + OBUF:I->O 4.520 A_TO_G_0_OBUF (A_TO_G<0>) + ---------------------------------------- + Total 10.281ns (8.010ns logic, 2.271ns route) + (77.9% logic, 22.1% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'ss/clock_ss' + Total number of paths / destination ports: 1 / 1 +------------------------------------------------------------------------- +Offset: 6.740ns (Levels of Logic = 2) + Source: ss/clock_disable (FF) + Destination: LED<0> (PAD) + Source Clock: ss/clock_ss rising + + Data Path: ss/clock_disable to LED<0> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDCP:C->Q 3 0.591 0.534 ss/clock_disable (ss/clock_disable) + LUT4:I3->O 2 0.648 0.447 ss/clock_out1 (LED_0_OBUF1) + OBUF:I->O 4.520 LED_0_OBUF (LED<0>) + ---------------------------------------- + Total 6.740ns (5.759ns logic, 0.981ns route) + (85.4% logic, 14.6% route) + +========================================================================= +Timing constraint: Default path analysis + Total number of paths / destination ports: 57 / 8 +------------------------------------------------------------------------- +Delay: 13.258ns (Levels of Logic = 9) + Source: USR_BTN (PAD) + Destination: A_TO_G<5> (PAD) + + Data Path: USR_BTN to A_TO_G<5> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + IBUF:I->O 233 0.849 1.358 USR_BTN_IBUF (USR_BTN_IBUF) + LUT3:I2->O 285 0.648 1.345 cpu/u21/Y<3>LogicTrst1 (cpu/ma<3>) + LUT4:I3->O 1 0.648 0.000 led_bus<11>2 (led_bus<11>2) + MUXF5:I0->O 1 0.276 0.500 led_bus<11>_f5 (led_bus<11>) + LUT3:I1->O 1 0.643 0.000 led4x7/digitmux/Mmux_y_33 (led4x7/digitmux/Mmux_y_33) + MUXF5:I1->O 7 0.276 0.851 led4x7/digitmux/Mmux_y_2_f5_2 (led4x7/digit<3>) + LUT4:I0->O 1 0.648 0.000 led4x7/segment_1_or00001 (led4x7/segment_1_or0000) + MUXF5:I1->O 1 0.276 0.420 led4x7/segment_1_or0000_f5 (A_TO_G_1_OBUF) + OBUF:I->O 4.520 A_TO_G_1_OBUF (A_TO_G<1>) + ---------------------------------------- + Total 13.258ns (8.784ns logic, 4.474ns route) + (66.3% logic, 33.7% route) + +========================================================================= + + +Total REAL time to Xst completion: 76.00 secs +Total CPU time to Xst completion: 76.03 secs + +--> + +Total memory usage is 356528 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 252 ( 0 filtered) +Number of infos : 3576 ( 0 filtered) +
trunk/sys9080_iterationlimit.srp Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: trunk/sys9080_summary.html =================================================================== --- trunk/sys9080_summary.html (nonexistent) +++ trunk/sys9080_summary.html (revision 6) @@ -0,0 +1,78 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
sys9080 Project Status
Project File:sys9080.xiseParser Errors:
Module Name:sys9080Implementation State:New
Target Device:xc3s200a-4vq100
  • Errors:
 
Product Version:ISE 14.7
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: 
  • Final Timing Score:
  
+ + + + + + + + + + + + 
+ + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis Report     
Translation Report     
Map Report     
Place and Route Report     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + +
Secondary Reports [-]
Report NameStatusGenerated
+ + +
Date Generated: 01/08/2018 - 09:15:16
+ \ No newline at end of file
trunk/sys9080_summary.html Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.