OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/boards
    from Rev 38 to Rev 45
    Reverse comparison

Rev 38 → Rev 45

/DE0_nano/jtag_intfc.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
PRODUCT_ID="0x6001"
JTAG_INTFC="$PRONOC_WORK/toolchain/bin/jtag_libusb -a $PRODUCT_ID"
/DE0_nano/program_device.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
#usage:
# sh program_device.sh programming_file.sof
/DE10_Nano_VB2/jtag_intfc.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
PRODUCT_ID="0x6010"
HARDWARE_NAME='DE-SoC *'
/DE10_Nano_VB2/program_device.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
#usage:
# sh program_device.sh programming_file.sof
/DE1_SoC/jtag_intfc.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
PRODUCT_ID="0x6001"
JTAG_INTFC="$PRONOC_WORK/toolchain/bin/jtag_libusb -a $PRODUCT_ID"
/DE1_SoC/program_device.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
#usage:
# sh program_device.sh programming_file.sof
/DE2_115/jtag_intfc.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
PRODUCT_ID="0x6001"
JTAG_INTFC="$PRONOC_WORK/toolchain/bin/jtag_libusb -a $PRODUCT_ID"
/DE2_115/program_device.sh
1,4 → 1,4
#!/bin/sh
#!/bin/bash
 
#usage:
# sh program_device.sh programming_file.sof
/DE5/DE5.qsf
0,0 → 1,302
#============================================================
# Build by Terasic System Builder
#============================================================
 
set_global_assignment -name FAMILY "Stratix V"
set_global_assignment -name DEVICE 5SGXEA7N2F45C2
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.0"
set_global_assignment -name LAST_QUARTUS_VERSION "12.0"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:38:23 JULY 08,2019"
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1932
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 2_H2
set_global_assignment -name SDC_FILE Top.SDC
 
#============================================================
# CLOCK
#============================================================
set_location_assignment PIN_AW35 -to OSC_50_B3B
set_instance_assignment -name IO_STANDARD "2.5 V" -to OSC_50_B3B
set_location_assignment PIN_BC28 -to OSC_50_B3D
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B3D
set_location_assignment PIN_AP10 -to OSC_50_B4A
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B4A
set_location_assignment PIN_AY18 -to OSC_50_B4D
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B4D
set_location_assignment PIN_M8 -to OSC_50_B7A
set_instance_assignment -name IO_STANDARD "1.5 V" -to OSC_50_B7A
set_location_assignment PIN_J18 -to OSC_50_B7D
set_instance_assignment -name IO_STANDARD "1.5 V" -to OSC_50_B7D
set_location_assignment PIN_R36 -to OSC_50_B8A
set_instance_assignment -name IO_STANDARD "1.5 V" -to OSC_50_B8A
set_location_assignment PIN_R25 -to OSC_50_B8D
set_instance_assignment -name IO_STANDARD "1.8 V" -to OSC_50_B8D
 
#============================================================
# LED x 10
#============================================================
set_location_assignment PIN_AW37 -to LED[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[0]
set_location_assignment PIN_AV37 -to LED[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[1]
set_location_assignment PIN_BB36 -to LED[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[2]
set_location_assignment PIN_BB39 -to LED[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED[3]
set_location_assignment PIN_AH15 -to LED_BRACKET[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[0]
set_location_assignment PIN_AH13 -to LED_BRACKET[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[1]
set_location_assignment PIN_AJ13 -to LED_BRACKET[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[2]
set_location_assignment PIN_AJ14 -to LED_BRACKET[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_BRACKET[3]
set_location_assignment PIN_AG15 -to LED_RJ45_L
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_RJ45_L
set_location_assignment PIN_AG16 -to LED_RJ45_R
set_instance_assignment -name IO_STANDARD "2.5 V" -to LED_RJ45_R
 
#============================================================
# BUTTON x 4 and CPU_RESET_n
#============================================================
set_location_assignment PIN_AK15 -to BUTTON[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[0]
set_location_assignment PIN_AK14 -to BUTTON[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[1]
set_location_assignment PIN_AL14 -to BUTTON[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[2]
set_location_assignment PIN_AL15 -to BUTTON[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to BUTTON[3]
set_location_assignment PIN_BC37 -to CPU_RESET_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to CPU_RESET_n
 
#============================================================
# SWITCH x 4
#============================================================
set_location_assignment PIN_B25 -to SW[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[0]
set_location_assignment PIN_A25 -to SW[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[1]
set_location_assignment PIN_B23 -to SW[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[2]
set_location_assignment PIN_A23 -to SW[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to SW[3]
 
#============================================================
# 7-Segement
#============================================================
set_location_assignment PIN_G8 -to HEX0_D[0]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[0]
set_location_assignment PIN_H8 -to HEX0_D[1]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[1]
set_location_assignment PIN_J9 -to HEX0_D[2]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[2]
set_location_assignment PIN_K10 -to HEX0_D[3]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[3]
set_location_assignment PIN_K8 -to HEX0_D[4]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[4]
set_location_assignment PIN_K9 -to HEX0_D[5]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[5]
set_location_assignment PIN_N8 -to HEX0_D[6]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_D[6]
set_location_assignment PIN_P8 -to HEX0_DP
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX0_DP
set_location_assignment PIN_H18 -to HEX1_D[0]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[0]
set_location_assignment PIN_G16 -to HEX1_D[1]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[1]
set_location_assignment PIN_F16 -to HEX1_D[2]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[2]
set_location_assignment PIN_A7 -to HEX1_D[3]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[3]
set_location_assignment PIN_B7 -to HEX1_D[4]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[4]
set_location_assignment PIN_C9 -to HEX1_D[5]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[5]
set_location_assignment PIN_D10 -to HEX1_D[6]
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_D[6]
set_location_assignment PIN_E9 -to HEX1_DP
set_instance_assignment -name IO_STANDARD "1.5 V" -to HEX1_DP
 
#============================================================
# Temperature
#============================================================
set_location_assignment PIN_D21 -to TEMP_CLK
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_CLK
set_location_assignment PIN_D20 -to TEMP_DATA
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_DATA
set_location_assignment PIN_C21 -to TEMP_INT_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_INT_n
set_location_assignment PIN_C22 -to TEMP_OVERT_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to TEMP_OVERT_n
 
#============================================================
# Fan
#============================================================
set_location_assignment PIN_AR32 -to FAN_CTRL
set_instance_assignment -name IO_STANDARD "2.5 V" -to FAN_CTRL
 
#============================================================
# RS232
#============================================================
set_location_assignment PIN_AG14 -to RS422_DE
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_DE
set_location_assignment PIN_AE18 -to RS422_DIN
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_DIN
set_location_assignment PIN_AE17 -to RS422_DOUT
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_DOUT
set_location_assignment PIN_AF17 -to RS422_RE_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_RE_n
set_location_assignment PIN_AF16 -to RS422_TE
set_instance_assignment -name IO_STANDARD "2.5 V" -to RS422_TE
 
#============================================================
# Flash/MAX Address/Data Share Bus
#============================================================
set_location_assignment PIN_AG26 -to FSM_D[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[0]
set_location_assignment PIN_AD33 -to FSM_D[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[1]
set_location_assignment PIN_AE34 -to FSM_D[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[2]
set_location_assignment PIN_AF31 -to FSM_D[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[3]
set_location_assignment PIN_AG28 -to FSM_D[4]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[4]
set_location_assignment PIN_AG30 -to FSM_D[5]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[5]
set_location_assignment PIN_AF29 -to FSM_D[6]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[6]
set_location_assignment PIN_AE29 -to FSM_D[7]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[7]
set_location_assignment PIN_AG25 -to FSM_D[8]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[8]
set_location_assignment PIN_AF34 -to FSM_D[9]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[9]
set_location_assignment PIN_AE33 -to FSM_D[10]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[10]
set_location_assignment PIN_AE31 -to FSM_D[11]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[11]
set_location_assignment PIN_AF28 -to FSM_D[12]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[12]
set_location_assignment PIN_AE30 -to FSM_D[13]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[13]
set_location_assignment PIN_AG29 -to FSM_D[14]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[14]
set_location_assignment PIN_AG27 -to FSM_D[15]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[15]
set_location_assignment PIN_AP28 -to FSM_D[16]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[16]
set_location_assignment PIN_AN28 -to FSM_D[17]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[17]
set_location_assignment PIN_AU31 -to FSM_D[18]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[18]
set_location_assignment PIN_AW32 -to FSM_D[19]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[19]
set_location_assignment PIN_BD32 -to FSM_D[20]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[20]
set_location_assignment PIN_AY31 -to FSM_D[21]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[21]
set_location_assignment PIN_BA30 -to FSM_D[22]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[22]
set_location_assignment PIN_BB30 -to FSM_D[23]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[23]
set_location_assignment PIN_AM29 -to FSM_D[24]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[24]
set_location_assignment PIN_AR29 -to FSM_D[25]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[25]
set_location_assignment PIN_AV31 -to FSM_D[26]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[26]
set_location_assignment PIN_AV32 -to FSM_D[27]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[27]
set_location_assignment PIN_BC31 -to FSM_D[28]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[28]
set_location_assignment PIN_AW30 -to FSM_D[29]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[29]
set_location_assignment PIN_BC32 -to FSM_D[30]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[30]
set_location_assignment PIN_BD31 -to FSM_D[31]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_D[31]
set_location_assignment PIN_AU32 -to FSM_A[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[0]
set_location_assignment PIN_AH30 -to FSM_A[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[1]
set_location_assignment PIN_AJ30 -to FSM_A[2]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[2]
set_location_assignment PIN_AH31 -to FSM_A[3]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[3]
set_location_assignment PIN_AK30 -to FSM_A[4]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[4]
set_location_assignment PIN_AJ32 -to FSM_A[5]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[5]
set_location_assignment PIN_AG33 -to FSM_A[6]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[6]
set_location_assignment PIN_AL30 -to FSM_A[7]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[7]
set_location_assignment PIN_AK33 -to FSM_A[8]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[8]
set_location_assignment PIN_AJ33 -to FSM_A[9]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[9]
set_location_assignment PIN_AN30 -to FSM_A[10]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[10]
set_location_assignment PIN_AH33 -to FSM_A[11]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[11]
set_location_assignment PIN_AK32 -to FSM_A[12]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[12]
set_location_assignment PIN_AM32 -to FSM_A[13]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[13]
set_location_assignment PIN_AM31 -to FSM_A[14]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[14]
set_location_assignment PIN_AL31 -to FSM_A[15]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[15]
set_location_assignment PIN_AN33 -to FSM_A[16]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[16]
set_location_assignment PIN_AP33 -to FSM_A[17]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[17]
set_location_assignment PIN_AT32 -to FSM_A[18]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[18]
set_location_assignment PIN_AT29 -to FSM_A[19]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[19]
set_location_assignment PIN_AP31 -to FSM_A[20]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[20]
set_location_assignment PIN_AR30 -to FSM_A[21]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[21]
set_location_assignment PIN_AU30 -to FSM_A[22]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[22]
set_location_assignment PIN_AJ31 -to FSM_A[23]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[23]
set_location_assignment PIN_AP30 -to FSM_A[24]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[24]
set_location_assignment PIN_AN31 -to FSM_A[25]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[25]
set_location_assignment PIN_AT30 -to FSM_A[26]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FSM_A[26]
 
#============================================================
# Flash Control
#============================================================
set_location_assignment PIN_AK29 -to FLASH_ADV_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_ADV_n
set_location_assignment PIN_AE27 -to FLASH_CE_n[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_CE_n[0]
set_location_assignment PIN_BA31 -to FLASH_CE_n[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_CE_n[1]
set_location_assignment PIN_AL29 -to FLASH_CLK
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_CLK
set_location_assignment PIN_AY30 -to FLASH_OE_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_OE_n
set_location_assignment PIN_BA29 -to FLASH_RDY_BSY_n[0]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_RDY_BSY_n[0]
set_location_assignment PIN_BB32 -to FLASH_RDY_BSY_n[1]
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_RDY_BSY_n[1]
set_location_assignment PIN_AE28 -to FLASH_RESET_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_RESET_n
set_location_assignment PIN_AR31 -to FLASH_WE_n
set_instance_assignment -name IO_STANDARD "2.5 V" -to FLASH_WE_n
 
#============================================================
# End of pin assignments by Terasic System Builder
#============================================================
 
 
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
/DE5/DE5.v
0,0 → 1,148
 
//=======================================================
// This code is generated by Terasic System Builder
//=======================================================
 
module Top(
 
//////////// CLOCK //////////
OSC_50_B3B,
OSC_50_B3D,
OSC_50_B4A,
OSC_50_B4D,
OSC_50_B7A,
OSC_50_B7D,
OSC_50_B8A,
OSC_50_B8D,
 
//////////// LED x 10 //////////
LED,
LED_BRACKET,
LED_RJ45_L,
LED_RJ45_R,
 
//////////// BUTTON x 4 and CPU_RESET_n //////////
BUTTON,
CPU_RESET_n,
 
//////////// SWITCH x 4 //////////
SW,
 
//////////// 7-Segement //////////
HEX0_D,
HEX0_DP,
HEX1_D,
HEX1_DP,
 
//////////// Temperature //////////
TEMP_CLK,
TEMP_DATA,
TEMP_INT_n,
TEMP_OVERT_n,
 
//////////// Fan //////////
FAN_CTRL,
 
//////////// RS232 //////////
RS422_DE,
RS422_DIN,
RS422_DOUT,
RS422_RE_n,
RS422_TE,
 
//////////// Flash/MAX Address/Data Share Bus //////////
FSM_A,
FSM_D,
 
//////////// Flash Control //////////
FLASH_ADV_n,
FLASH_CE_n,
FLASH_CLK,
FLASH_OE_n,
FLASH_RDY_BSY_n,
FLASH_RESET_n,
FLASH_WE_n
);
 
//=======================================================
// PARAMETER declarations
//=======================================================
 
 
//=======================================================
// PORT declarations
//=======================================================
 
//////////// CLOCK //////////
input OSC_50_B3B;
input OSC_50_B3D;
input OSC_50_B4A;
input OSC_50_B4D;
input OSC_50_B7A;
input OSC_50_B7D;
input OSC_50_B8A;
input OSC_50_B8D;
 
//////////// LED x 10 //////////
output [3:0] LED;
output [3:0] LED_BRACKET;
output LED_RJ45_L;
output LED_RJ45_R;
 
//////////// BUTTON x 4 and CPU_RESET_n //////////
input [3:0] BUTTON;
input CPU_RESET_n;
 
//////////// SWITCH x 4 //////////
input [3:0] SW;
 
//////////// 7-Segement //////////
output [6:0] HEX0_D;
output HEX0_DP;
output [6:0] HEX1_D;
output HEX1_DP;
 
//////////// Temperature //////////
output TEMP_CLK;
inout TEMP_DATA;
input TEMP_INT_n;
input TEMP_OVERT_n;
 
//////////// Fan //////////
inout FAN_CTRL;
 
//////////// RS232 //////////
output RS422_DE;
input RS422_DIN;
output RS422_DOUT;
output RS422_RE_n;
output RS422_TE;
 
//////////// Flash/MAX Address/Data Share Bus //////////
output [26:0] FSM_A;
inout [31:0] FSM_D;
 
//////////// Flash Control //////////
output FLASH_ADV_n;
output [1:0] FLASH_CE_n;
output FLASH_CLK;
output FLASH_OE_n;
input [1:0] FLASH_RDY_BSY_n;
output FLASH_RESET_n;
output FLASH_WE_n;
 
 
//=======================================================
// REG/WIRE declarations
//=======================================================
 
 
 
 
//=======================================================
// Structural coding
//=======================================================
 
 
 
endmodule
DE5/DE5.v Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: DE5/jtag_intfc.sh =================================================================== --- DE5/jtag_intfc.sh (nonexistent) +++ DE5/jtag_intfc.sh (revision 45) @@ -0,0 +1,11 @@ +#!/bin/bash + +PRODUCT_ID="0x6010" +HARDWARE_NAME="DE5 Standard *" +DEVICE_NAME="@1*" + +JTAG_INTFC="$PRONOC_WORK/toolchain/bin/jtag_quartus_stp -a $HARDWARE_NAME -b $DEVICE_NAME" + + + +
DE5/jtag_intfc.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: DE5/program_device.sh =================================================================== --- DE5/program_device.sh (nonexistent) +++ DE5/program_device.sh (revision 45) @@ -0,0 +1,24 @@ +#!/bin/bash + +#usage: +# sh program_device.sh programming_file.sof + +#programming file +#given as an argument: $1 + +#Programming mode +PROG_MODE=jtag + +#cable name. Connect the board to ur PC and then run jtagconfig in terminal to find the cable name +NAME="DE5 Standard" + +#device name +#DEVICE=@2 + + +#programming command +if [ -n "${QUARTUS_BIN+set}" ]; then + $QUARTUS_BIN/quartus_pgm -m $PROG_MODE -c "$NAME" -o "p;${1}" +else + quartus_pgm -m $PROG_MODE -c "$NAME" -o "p;${1}" +fi
DE5/program_device.sh Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.