OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/perl_gui/lib/mpsoc
    from Rev 30 to Rev 34
    Reverse comparison

Rev 30 → Rev 34

/aeMB_noc.MPSOC
0,0 → 1,2972
#######################################################################
## File: aeMB_noc.MPSOC
##
## Copyright (C) 2014-2016 Alireza Monemi
##
## This file is part of ProNoC 1.7.0
##
## WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
## MAY CAUSE UNEXPECTED BEHAIVOR.
################################################################################
 
$aeMB_noc = bless( {
'noc_type' => {
'ROUTER_TYPE' => '"VC_BASED"'
},
'gui_status' => {
'timeout' => 0,
'status' => 'save_project'
},
'tile' => {
'1' => {},
'3' => {},
'2' => {},
'0' => {}
},
'mpsoc_name' => 'aeMB_noc',
'noc_indept_param' => {},
'compile' => {
'quartus_bin' => '/home/alireza/altera/13.0sp1/quartus/bin',
'type' => 'Modelsim',
'board' => 'DE2_115',
'modelsim_bin' => '/home/alireza/altera/modeltech/bin'
},
'noc_param' => {
'SSA_EN' => '"NO"',
'C' => 0,
'ESCAP_VC_MASK' => '2\'b01',
'CONGESTION_INDEX' => 3,
'ROUTE_SUBFUNC' => '"XY"',
'ADD_PIPREG_AFTER_CROSSBAR' => '1\'b0',
'AVC_ATOMIC_EN' => 0,
'V' => '2',
'MUX_TYPE' => '"BINARY"',
'COMBINATION_TYPE' => '"COMB_NONSPEC"',
'VC_REALLOCATION_TYPE' => '"NONATOMIC"',
'TOPOLOGY' => '"MESH"',
'Fpay' => '32',
'NY' => ' 2',
'NX' => ' 2',
'B' => '4',
'FIRST_ARBITER_EXT_P_EN' => 0,
'DEBUG_EN' => '0',
'ROUTE_NAME' => '"XY"'
},
'top_ip' => bless( {
'ports' => {
'clk' => {
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'instance_name' => 'IO',
'intfc_port' => 'clk_i'
},
'processors_en' => {
'instance_name' => 'IO',
'range' => '',
'intfc_name' => 'plug:enable[0]',
'type' => 'input',
'intfc_port' => 'enable_i'
},
'aeMB_tile_1_led_port_o' => {
'type' => 'output',
'range' => 'aeMB_tile_1_led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'instance_name' => 'aeMB_tile_1',
'intfc_port' => 'IO'
},
'aeMB_tile_0_led_port_o' => {
'intfc_port' => 'IO',
'type' => 'output',
'range' => 'aeMB_tile_0_led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'instance_name' => 'aeMB_tile_0'
},
'aeMB_tile_3_led_port_o' => {
'instance_name' => 'aeMB_tile_3',
'range' => 'aeMB_tile_3_led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
},
'aeMB_tile_2_led_port_o' => {
'intfc_name' => 'IO',
'range' => 'aeMB_tile_2_led_PORT_WIDTH-1 : 0',
'instance_name' => 'aeMB_tile_2',
'type' => 'output',
'intfc_port' => 'IO'
},
'reset' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'instance_name' => 'IO'
}
},
'instance_ids' => {
'aeMB_tile_3' => {
'ports' => {
'aeMB_tile_3_led_port_o' => {
'intfc_port' => 'IO',
'range' => 'aeMB_tile_3_led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output'
}
}
},
'IO' => {
'ports' => {
'reset' => {
'intfc_port' => 'reset_i',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input'
},
'processors_en' => {
'type' => 'input',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'intfc_port' => 'enable_i'
},
'clk' => {
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'clk_i'
}
}
},
'aeMB_tile_0' => {
'ports' => {
'aeMB_tile_0_led_port_o' => {
'range' => 'aeMB_tile_0_led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
}
}
},
'aeMB_tile_1' => {
'ports' => {
'aeMB_tile_1_led_port_o' => {
'intfc_name' => 'IO',
'range' => 'aeMB_tile_1_led_PORT_WIDTH-1 : 0',
'type' => 'output',
'intfc_port' => 'IO'
}
}
},
'aeMB_tile_2' => {
'ports' => {
'aeMB_tile_2_led_port_o' => {
'intfc_port' => 'IO',
'type' => 'output',
'range' => 'aeMB_tile_2_led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO'
}
}
}
},
'interface' => {
'plug:clk[0]' => {
'ports' => {
'clk' => {
'instance_name' => 'IO',
'range' => '',
'type' => 'input',
'intfc_port' => 'clk_i'
}
}
},
'plug:reset[0]' => {
'ports' => {
'reset' => {
'range' => '',
'instance_name' => 'IO',
'type' => 'input',
'intfc_port' => 'reset_i'
}
}
},
'plug:enable[0]' => {
'ports' => {
'processors_en' => {
'instance_name' => 'IO',
'range' => '',
'type' => 'input',
'intfc_port' => 'enable_i'
}
}
},
'IO' => {
'ports' => {
'aeMB_tile_2_led_port_o' => {
'type' => 'output',
'range' => 'aeMB_tile_2_led_PORT_WIDTH-1 : 0',
'instance_name' => 'aeMB_tile_2',
'intfc_port' => 'IO'
},
'aeMB_tile_3_led_port_o' => {
'range' => 'aeMB_tile_3_led_PORT_WIDTH-1 : 0',
'instance_name' => 'aeMB_tile_3',
'type' => 'output',
'intfc_port' => 'IO'
},
'aeMB_tile_0_led_port_o' => {
'intfc_port' => 'IO',
'type' => 'output',
'range' => 'aeMB_tile_0_led_PORT_WIDTH-1 : 0',
'instance_name' => 'aeMB_tile_0'
},
'aeMB_tile_1_led_port_o' => {
'intfc_port' => 'IO',
'range' => 'aeMB_tile_1_led_PORT_WIDTH-1 : 0',
'instance_name' => 'aeMB_tile_1',
'type' => 'output'
}
}
}
}
}, 'ip_gen' ),
'file_name' => undef,
'parameters_order' => {
'noc_type' => [
'ROUTER_TYPE'
],
'noc_param' => [
'NX',
'NY',
'V',
'B',
'Fpay',
'TOPOLOGY',
'ROUTE_NAME',
'SSA_EN',
'CONGESTION_INDEX',
'ESCAP_VC_MASK',
'VC_REALLOCATION_TYPE',
'COMBINATION_TYPE',
'MUX_TYPE',
'C',
'DEBUG_EN',
'ADD_PIPREG_AFTER_CROSSBAR',
'FIRST_ARBITER_EXT_P_EN',
'AVC_ATOMIC_EN',
'ROUTE_SUBFUNC'
]
},
'setting' => {
'show_noc_setting' => 1,
'show_tile_setting' => 1,
'show_adv_setting' => 0,
'soc_path' => 'lib/soc'
},
'socs' => {
'sep' => {
'top' => bless( {
'interface' => {
'socket:ni[0]' => {
'ports' => {
'nis_credit_in' => {
'instance_name' => 'ni_sep0',
'range' => 'nis_V-1 : 0',
'type' => 'input',
'intfc_port' => 'credit_in'
},
'nis_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'range' => 'nis_Xw-1 : 0',
'instance_name' => 'ni_sep0'
},
'nis_flit_in_wr' => {
'type' => 'input',
'instance_name' => 'ni_sep0',
'range' => '',
'intfc_port' => 'flit_in_wr'
},
'nis_current_y' => {
'instance_name' => 'ni_sep0',
'range' => 'nis_Yw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_y'
},
'nis_flit_out' => {
'type' => 'output',
'range' => 'nis_Fw-1 : 0',
'instance_name' => 'ni_sep0',
'intfc_port' => 'flit_out'
},
'nis_flit_in' => {
'type' => 'input',
'range' => 'nis_Fw-1 : 0',
'instance_name' => 'ni_sep0',
'intfc_port' => 'flit_in'
},
'nis_credit_out' => {
'type' => 'output',
'instance_name' => 'ni_sep0',
'range' => 'nis_V-1 : 0',
'intfc_port' => 'credit_out'
},
'nis_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'instance_name' => 'ni_sep0',
'range' => ''
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'range' => '',
'instance_name' => 'clk_source0'
}
}
}
},
'instance_ids' => {
'clk_source0' => {
'module_name' => 'clk_source',
'module' => 'clk_source',
'instance' => 'ss',
'ports' => {
'ss_clk_in' => {
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'clk_i'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
}
},
'category' => 'Source'
},
'ni_sep0' => {
'module' => 'ni_sep',
'module_name' => 'ni_sep',
'ports' => {
'nis_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'nis_Fw-1 : 0',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'nis_flit_in' => {
'type' => 'input',
'range' => 'nis_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in'
},
'nis_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'nis_credit_out' => {
'intfc_port' => 'credit_out',
'range' => 'nis_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output'
},
'nis_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'range' => 'nis_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'nis_credit_in' => {
'intfc_port' => 'credit_in',
'type' => 'input',
'range' => 'nis_V-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'nis_current_y' => {
'intfc_port' => 'current_y',
'range' => 'nis_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
},
'nis_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
}
},
'instance' => 'nis',
'parameters' => {
'nis_NY' => {
'content' => '',
'deafult' => 4,
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'nis_TOPOLOGY' => {
'redefine_param' => 1,
'info' => undef,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '"MESH"'
},
'nis_B' => {
'deafult' => '4',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'info' => '',
'redefine_param' => 1
},
'nis_NX' => {
'type' => 'Fixed',
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => 4,
'content' => ''
},
'nis_V' => {
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => '',
'type' => 'Fixed',
'content' => '',
'deafult' => '2'
},
'nis_ROUTE_NAME' => {
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '"XY"'
},
'nis_DEBUG_EN' => {
'deafult' => '0',
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1,
'info' => undef,
'global_param' => 'Parameter'
},
'nis_Fpay' => {
'content' => '',
'deafult' => '32',
'global_param' => 'Parameter',
'info' => undef,
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'category' => 'NoC'
},
'wishbone_bus0' => {
'instance' => 'bus',
'category' => 'Bus',
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus'
}
},
'ports' => {
'nis_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'instance_name' => 'ni_sep0'
},
'nis_current_y' => {
'range' => 'nis_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_sep0',
'type' => 'input',
'intfc_port' => 'current_y'
},
'nis_current_x' => {
'type' => 'input',
'instance_name' => 'ni_sep0',
'range' => 'nis_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_x'
},
'nis_credit_in' => {
'type' => 'input',
'range' => 'nis_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_sep0',
'intfc_port' => 'credit_in'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'type' => 'input'
},
'nis_credit_out' => {
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => 'nis_V-1 : 0',
'instance_name' => 'ni_sep0',
'intfc_port' => 'credit_out'
},
'nis_flit_out_wr' => {
'type' => 'output',
'instance_name' => 'ni_sep0',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr'
},
'nis_flit_in' => {
'instance_name' => 'ni_sep0',
'intfc_name' => 'socket:ni[0]',
'range' => 'nis_Fw-1 : 0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ss_clk_in' => {
'type' => 'input',
'instance_name' => 'clk_source0',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'intfc_port' => 'clk_i'
},
'nis_flit_out' => {
'type' => 'output',
'instance_name' => 'ni_sep0',
'intfc_name' => 'socket:ni[0]',
'range' => 'nis_Fw-1 : 0',
'intfc_port' => 'flit_out'
}
}
}, 'ip_gen' )
},
'lm32_tile$' => {
'top' => bless( {
'interface' => {
'IO' => {
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input',
'intfc_port' => 'reset_i'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
}
}
},
'plug:enable[0]' => {
'ports' => {
'cpu_en_i' => {
'range' => '',
'instance_name' => 'lm320',
'type' => 'input',
'intfc_port' => 'enable_i'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out' => {
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'intfc_port' => 'flit_out'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0'
},
'ni_flit_out_wr' => {
'range' => '',
'instance_name' => 'ni0',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_in' => {
'type' => 'input',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'intfc_port' => 'credit_in'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_in' => {
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_y' => {
'type' => 'input',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'intfc_port' => 'current_y'
},
'ni_flit_in_wr' => {
'type' => 'input',
'range' => '',
'instance_name' => 'ni0',
'intfc_port' => 'flit_in_wr'
}
}
}
},
'instance_ids' => {
'ni0' => {
'instance' => 'ni',
'ports' => {
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'range' => 'ni_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ni_current_x' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_flit_in_wr' => {
'range' => '',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
},
'ni_flit_out' => {
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'intfc_port' => 'flit_out'
},
'ni_credit_in' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'intfc_port' => 'credit_in'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => ''
},
'ni_credit_out' => {
'type' => 'output',
'range' => 'ni_V-1: 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out'
}
},
'category' => 'NoC',
'parameters' => {
'ni_V' => {
'content' => '',
'deafult' => '2',
'global_param' => 'Parameter',
'info' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_TOPOLOGY' => {
'content' => '',
'deafult' => '"MESH"',
'global_param' => 'Parameter',
'info' => undef,
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'type' => 'Fixed',
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => '"XY"',
'content' => ''
},
'ni_NX' => {
'content' => '',
'deafult' => ' 2',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => undef,
'type' => 'Fixed'
},
'ni_B' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'info' => '',
'redefine_param' => 1,
'deafult' => '4',
'content' => ''
},
'ni_NY' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => undef,
'deafult' => ' 2',
'content' => ''
},
'ni_Fpay' => {
'redefine_param' => 1,
'info' => undef,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '32'
},
'ni_DEBUG_EN' => {
'redefine_param' => 1,
'info' => undef,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '0'
}
},
'module_name' => 'ni',
'module' => 'ni'
},
'jtag_wb0' => {
'category' => 'JTAG',
'instance' => 'jtag_wb0',
'module_name' => 'vjtag_wb',
'module' => 'jtag_wb'
},
'single_port_ram0' => {
'parameters' => {
'ram_Aw' => {
'content' => '4,31,1',
'deafult' => '12',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Memory address width',
'type' => 'Spin-button'
},
'ram_Dw' => {
'deafult' => '32',
'content' => '8,1024,1',
'type' => 'Spin-button',
'redefine_param' => 1,
'info' => 'Memory data width in Bits.',
'global_param' => 'Parameter'
}
},
'category' => 'RAM',
'instance' => 'ram',
'module_name' => 'wb_single_port_ram',
'module' => 'single_port_ram'
},
'clk_source0' => {
'instance' => 'ss',
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'category' => 'Source',
'module_name' => 'clk_source',
'module' => 'clk_source'
},
'lm320' => {
'category' => 'Processor',
'instance' => 'cpu',
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'range' => '',
'intfc_name' => 'plug:enable[0]',
'type' => 'input'
}
},
'module' => 'lm32',
'module_name' => 'lm32'
},
'gpo0' => {
'module' => 'gpo',
'module_name' => 'gpo',
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output'
}
},
'instance' => 'gpo',
'parameters' => {
'gpo_PORT_WIDTH' => {
'content' => '1,32,1',
'deafult' => ' 1',
'redefine_param' => 1,
'info' => 'output port width',
'global_param' => 'Parameter',
'type' => 'Spin-button'
}
},
'category' => 'GPIO'
},
'wishbone_bus0' => {
'instance' => 'bus',
'category' => 'Bus',
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus'
}
},
'ports' => {
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'instance_name' => 'ni0'
},
'ni_flit_out_wr' => {
'type' => 'output',
'instance_name' => 'ni0',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_in' => {
'type' => 'input',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'intfc_port' => 'credit_in'
},
'ni_flit_out' => {
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'intfc_port' => 'flit_out'
},
'ss_reset_in' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'intfc_port' => 'reset_i'
},
'gpo_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ni_flit_in' => {
'instance_name' => 'ni0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'type' => 'input'
},
'ss_clk_in' => {
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'instance_name' => 'clk_source0',
'intfc_port' => 'clk_i'
},
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'instance_name' => 'lm320'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'instance_name' => 'ni0',
'range' => '',
'intfc_name' => 'socket:ni[0]'
}
},
'parameters' => {
'gpo_PORT_WIDTH' => ' 1',
'ram_Aw' => '12',
'ram_Dw' => '32'
}
}, 'ip_gen' )
},
'sim_uart_test' => {
'top' => bless( {
'interface' => {
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'range' => '',
'instance_name' => 'clk_source0'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_in_wr' => {
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => '',
'intfc_port' => 'flit_in_wr'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Yw-1 : 0'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Xw-1 : 0'
},
'ni_flit_out' => {
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'type' => 'input',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0'
},
'ni_flit_out_wr' => {
'range' => '',
'instance_name' => 'ni_master0',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_out' => {
'type' => 'output',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0',
'intfc_port' => 'credit_out'
}
}
},
'plug:enable[0]' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'type' => 'input',
'range' => '',
'instance_name' => 'aeMB0',
'intfc_port' => 'enable_i'
}
}
}
},
'parameters' => {
'ram_Aw' => '12',
'ram_Dw' => '32'
},
'instance_ids' => {
'clk_source0' => {
'module' => 'clk_source',
'module_name' => 'clk_source',
'instance' => 'ss',
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => ''
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input'
}
},
'category' => 'Source'
},
'ni_master0' => {
'module_name' => 'ni_master',
'module' => 'ni_master',
'instance' => 'ni',
'ports' => {
'ni_flit_out' => {
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'intfc_port' => 'flit_out'
},
'ni_credit_in' => {
'type' => 'input',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_in'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ni_flit_out_wr' => {
'type' => 'output',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
},
'ni_current_y' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'intfc_port' => 'current_y'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
},
'ni_current_x' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'intfc_port' => 'current_x'
}
},
'category' => 'NoC',
'parameters' => {
'ni_ROUTE_NAME' => {
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '"XY" '
},
'ni_TOPOLOGY' => {
'content' => '',
'deafult' => '"MESH"',
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_V' => {
'content' => '',
'deafult' => '4',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => ' 4'
},
'ni_B' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => ' 4'
},
'ni_C' => {
'content' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'type' => 'Fixed'
},
'ni_NY' => {
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'type' => 'Fixed',
'content' => '',
'deafult' => ' 4'
},
'ni_Fpay' => {
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => ' 32'
},
'ni_DEBUG_EN' => {
'content' => '',
'deafult' => ' 1',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'type' => 'Fixed'
}
}
},
'single_port_ram0' => {
'module_name' => 'wb_single_port_ram',
'module' => 'single_port_ram',
'instance' => 'ram',
'category' => 'RAM',
'parameters' => {
'ram_Dw' => {
'type' => 'Spin-button',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Memory data width in Bits.',
'deafult' => '32',
'content' => '8,1024,1'
},
'ram_Aw' => {
'info' => 'Memory address width',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Spin-button',
'content' => '4,31,1',
'deafult' => '12'
}
}
},
'sim_uart0' => {
'module' => 'sim_uart',
'module_name' => 'simulator_UART',
'category' => 'Other',
'instance' => 'sim_uart'
},
'wishbone_bus0' => {
'category' => 'Bus',
'instance' => 'bus',
'module_name' => 'wishbone_bus',
'module' => 'wishbone_bus'
},
'aeMB0' => {
'instance' => 'aeMB0',
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'enable_i'
}
},
'category' => 'Processor',
'module' => 'aeMB',
'module_name' => 'aeMB_top'
}
},
'ports' => {
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'instance_name' => 'ni_master0',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input'
},
'ni_current_y' => {
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y'
},
'ni_current_x' => {
'type' => 'input',
'range' => 'ni_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => '',
'intfc_name' => 'plug:clk[0]'
},
'ni_flit_in_wr' => {
'instance_name' => 'ni_master0',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
},
'aeMB0_sys_ena_i' => {
'type' => 'input',
'instance_name' => 'aeMB0',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'intfc_port' => 'enable_i'
}
}
}, 'ip_gen' )
},
'aeMB_tile' => {
'top' => bless( {
'interface' => {
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => ''
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input',
'intfc_port' => 'reset_i'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_in_wr' => {
'range' => '',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
},
'ni_current_y' => {
'type' => 'input',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni_master0',
'intfc_port' => 'current_y'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni_master0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni_master0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'instance_name' => 'ni_master0',
'range' => 'ni_V-1 : 0'
},
'ni_flit_out_wr' => {
'instance_name' => 'ni_master0',
'range' => '',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_flit_out' => {
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'output',
'intfc_port' => 'flit_out'
}
}
},
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'range' => '',
'instance_name' => 'aeMB0',
'type' => 'input',
'intfc_port' => 'enable_i'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output',
'intfc_port' => 'IO'
}
}
}
},
'parameters' => {
'ram_Aw' => 13,
'led_PORT_WIDTH' => ' 1',
'ram_Dw' => '32'
},
'ports' => {
'ni_credit_out' => {
'type' => 'output',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0',
'intfc_port' => 'credit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'instance_name' => 'ni_master0',
'range' => '',
'intfc_name' => 'socket:ni[0]'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni_master0',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
},
'aeMB_sys_ena_i' => {
'intfc_name' => 'plug:enable[0]',
'range' => '',
'instance_name' => 'aeMB0',
'type' => 'input',
'intfc_port' => 'enable_i'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'output'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => '',
'intfc_name' => 'plug:reset[0]'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'type' => 'input',
'instance_name' => 'clk_source0',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'intfc_port' => 'clk_i'
},
'led_port_o' => {
'range' => 'led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'type' => 'output',
'intfc_port' => 'IO'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => '',
'intfc_name' => 'socket:ni[0]'
}
},
'instance_ids' => {
'ni_master0' => {
'module' => 'ni_master',
'module_name' => 'ni_master',
'category' => 'NoC',
'parameters' => {
'ni_B' => {
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '4'
},
'ni_NX' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'deafult' => ' 2',
'content' => ''
},
'ni_ROUTE_NAME' => {
'deafult' => '"XY"',
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter'
},
'ni_V' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '2'
},
'ni_TOPOLOGY' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '"MESH"'
},
'ni_DEBUG_EN' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'deafult' => '0',
'content' => ''
},
'ni_Fpay' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '32'
},
'ni_NY' => {
'content' => '',
'deafult' => ' 2',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_C' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => 0
}
},
'ports' => {
'ni_credit_out' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'output',
'intfc_port' => 'credit_out'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_in' => {
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'credit_in'
},
'ni_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0'
}
},
'instance' => 'ni'
},
'single_port_ram0' => {
'instance' => 'ram',
'parameters' => {
'ram_Dw' => {
'deafult' => '32',
'content' => '8,1024,1',
'type' => 'Spin-button',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Memory data width in Bits.'
},
'ram_Aw' => {
'content' => '4,31,1',
'deafult' => 13,
'redefine_param' => 1,
'info' => 'Memory address width',
'global_param' => 'Parameter',
'type' => 'Spin-button'
}
},
'category' => 'RAM',
'module' => 'single_port_ram',
'module_name' => 'wb_single_port_ram'
},
'clk_source0' => {
'module_name' => 'clk_source',
'module' => 'clk_source',
'ports' => {
'ss_clk_in' => {
'range' => '',
'intfc_name' => 'plug:clk[0]',
'type' => 'input',
'intfc_port' => 'clk_i'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
}
},
'instance' => 'ss',
'category' => 'Source'
},
'gpo0' => {
'ports' => {
'led_port_o' => {
'range' => 'led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
}
},
'instance' => 'led',
'parameters' => {
'led_PORT_WIDTH' => {
'global_param' => 'Parameter',
'info' => 'output port width',
'redefine_param' => 1,
'type' => 'Spin-button',
'content' => '1,32,1',
'deafult' => ' 1'
}
},
'category' => 'GPIO',
'module_name' => 'gpo',
'module' => 'gpo'
},
'aeMB0' => {
'module_name' => 'aeMB_top',
'module' => 'aeMB',
'instance' => 'aeMB',
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:enable[0]'
}
},
'category' => 'Processor'
},
'sim_uart0' => {
'category' => 'Other',
'instance' => 'sim_uart',
'module_name' => 'simulator_UART',
'module' => 'sim_uart'
},
'wishbone_bus0' => {
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'instance' => 'bus'
}
}
}, 'ip_gen' ),
'tile_nums' => [
0,
1,
2,
3
]
},
'lm32_tile' => {
'top' => bless( {
'instance_ids' => {
'gpo0' => {
'module' => 'gpo',
'module_name' => 'gpo',
'parameters' => {
'gpo_PORT_WIDTH' => {
'type' => 'Spin-button',
'info' => 'output port width',
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => ' 1',
'content' => '1,32,1'
}
},
'category' => 'GPIO',
'instance' => 'gpo',
'ports' => {
'gpo_port_o' => {
'range' => 'gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
}
}
},
'lm320' => {
'module_name' => 'lm32',
'module' => 'lm32',
'category' => 'Processor',
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'intfc_name' => 'plug:enable[0]',
'range' => ''
}
},
'instance' => 'cpu'
},
'wishbone_bus0' => {
'category' => 'Bus',
'instance' => 'bus',
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus'
},
'jtag_wb0' => {
'category' => 'JTAG',
'instance' => 'jtag_wb0',
'module' => 'jtag_wb',
'module_name' => 'vjtag_wb'
},
'single_port_ram0' => {
'instance' => 'ram',
'category' => 'RAM',
'parameters' => {
'ram_Dw' => {
'global_param' => 'Parameter',
'info' => 'Memory data width in Bits.',
'redefine_param' => 1,
'type' => 'Spin-button',
'content' => '8,1024,1',
'deafult' => '32'
},
'ram_Aw' => {
'redefine_param' => 1,
'info' => 'Memory address width',
'global_param' => 'Parameter',
'type' => 'Spin-button',
'content' => '4,31,1',
'deafult' => '12'
}
},
'module_name' => 'wb_single_port_ram',
'module' => 'single_port_ram'
},
'ni0' => {
'category' => 'NoC',
'parameters' => {
'ni_Fpay' => {
'content' => '',
'deafult' => '32',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => undef,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'deafult' => '0',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'info' => undef,
'redefine_param' => 1
},
'ni_NY' => {
'content' => '',
'deafult' => ' 2',
'redefine_param' => 1,
'info' => undef,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_V' => {
'content' => '',
'deafult' => 2,
'info' => '',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'type' => 'Fixed',
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => '"XY"',
'content' => ''
},
'ni_TOPOLOGY' => {
'redefine_param' => 1,
'info' => undef,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '"MESH"'
},
'ni_NX' => {
'content' => '',
'deafult' => ' 2',
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_B' => {
'redefine_param' => 1,
'info' => '',
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '4'
}
},
'ports' => {
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output'
},
'ni_credit_out' => {
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'intfc_port' => 'credit_out'
},
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_current_x' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
}
},
'instance' => 'ni',
'module_name' => 'ni',
'module' => 'ni'
},
'clk_source0' => {
'category' => 'Source',
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => ''
},
'ss_reset_in' => {
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'reset_i'
}
},
'instance' => 'ss',
'module' => 'clk_source',
'module_name' => 'clk_source'
}
},
'ports' => {
'ni_flit_out' => {
'type' => 'output',
'instance_name' => 'ni0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'intfc_port' => 'flit_out'
},
'ni_credit_out' => {
'type' => 'output',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'instance_name' => 'ni0'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'gpo_port_o' => {
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
},
'ss_reset_in' => {
'range' => '',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'type' => 'input',
'intfc_port' => 'reset_i'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
},
'cpu_en_i' => {
'instance_name' => 'lm320',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'enable_i'
},
'ni_flit_in' => {
'type' => 'input',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'instance_name' => 'ni0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_y'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'instance_name' => 'ni0',
'intfc_name' => 'socket:ni[0]',
'range' => ''
}
},
'parameters' => {
'ram_Dw' => '32',
'gpo_PORT_WIDTH' => ' 1',
'ram_Aw' => '12'
},
'interface' => {
'IO' => {
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'type' => 'output',
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0'
}
}
},
'plug:enable[0]' => {
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'lm320',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_in_wr' => {
'range' => '',
'instance_name' => 'ni0',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
},
'ni_flit_in' => {
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'range' => '',
'instance_name' => 'ni0'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out' => {
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'intfc_port' => 'flit_out'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
}
}
}
}
}, 'ip_gen' )
},
'new_ni_test' => {
'top' => bless( {
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'instance_name' => 'aeMB0',
'range' => ''
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni_master0',
'range' => 'ni_V-1 : 0',
'type' => 'output'
},
'ni_flit_out_wr' => {
'instance_name' => 'ni_master0',
'range' => '',
'type' => 'output',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_in' => {
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'credit_in'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'type' => 'output',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni_master0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'instance_name' => 'ni_master0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni_master0'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'range' => '',
'instance_name' => 'clk_source0'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'range' => '',
'instance_name' => 'clk_source0'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'type' => 'output',
'range' => 'led_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'intfc_port' => 'IO'
}
}
}
},
'ports' => {
'ss_reset_in' => {
'type' => 'input',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'instance_name' => 'clk_source0',
'intfc_port' => 'reset_i'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'type' => 'output',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'output'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0',
'type' => 'output'
},
'ni_credit_in' => {
'type' => 'input',
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'intfc_port' => 'credit_in'
},
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'instance_name' => 'aeMB0',
'range' => '',
'intfc_name' => 'plug:enable[0]'
},
'ni_flit_in_wr' => {
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
},
'led_port_o' => {
'range' => 'led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'type' => 'output',
'intfc_port' => 'IO'
},
'ss_clk_in' => {
'instance_name' => 'clk_source0',
'range' => '',
'intfc_name' => 'plug:clk[0]',
'type' => 'input',
'intfc_port' => 'clk_i'
},
'ni_current_x' => {
'instance_name' => 'ni_master0',
'range' => 'ni_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_y' => {
'type' => 'input',
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'intfc_port' => 'current_y'
}
},
'instance_ids' => {
'ni_master0' => {
'module' => 'ni_master',
'module_name' => 'ni_master',
'instance' => 'ni',
'ports' => {
'ni_credit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input',
'intfc_port' => 'credit_in'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'output'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'type' => 'output'
},
'ni_flit_out' => {
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'range' => 'ni_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ni_flit_in' => {
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'type' => 'input',
'range' => 'ni_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_x'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
}
},
'category' => 'NoC',
'parameters' => {
'ni_NY' => {
'content' => '',
'deafult' => ' 2',
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_C' => {
'content' => '',
'deafult' => 4,
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'content' => '',
'deafult' => '0',
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_Fpay' => {
'content' => '',
'deafult' => 32,
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_NX' => {
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => ' 2'
},
'ni_V' => {
'deafult' => 4,
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter'
},
'ni_TOPOLOGY' => {
'deafult' => '"MESH"',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter'
},
'ni_ROUTE_NAME' => {
'deafult' => '"XY"',
'content' => '',
'type' => 'Fixed',
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter'
},
'ni_B' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '4'
}
}
},
'single_port_ram0' => {
'module_name' => 'wb_single_port_ram',
'module' => 'single_port_ram',
'parameters' => {
'ram_Aw' => {
'info' => 'Memory address width',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Spin-button',
'content' => '4,31,1',
'deafult' => 13
},
'ram_Dw' => {
'type' => 'Spin-button',
'global_param' => 'Parameter',
'info' => 'Memory data width in Bits.',
'redefine_param' => 1,
'deafult' => '32',
'content' => '8,1024,1'
}
},
'category' => 'RAM',
'instance' => 'ram'
},
'clk_source0' => {
'category' => 'Source',
'instance' => 'ss',
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => ''
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:reset[0]'
}
},
'module' => 'clk_source',
'module_name' => 'clk_source'
},
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'deafult' => ' 1',
'content' => '1,32,1',
'type' => 'Spin-button',
'redefine_param' => 1,
'info' => 'output port width',
'global_param' => 'Parameter'
}
},
'category' => 'GPIO',
'instance' => 'led',
'ports' => {
'led_port_o' => {
'type' => 'output',
'intfc_name' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO'
}
},
'module' => 'gpo',
'module_name' => 'gpo'
},
'wishbone_bus0' => {
'instance' => 'bus',
'category' => 'Bus',
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus'
},
'sim_uart0' => {
'module_name' => 'simulator_UART',
'module' => 'sim_uart',
'instance' => 'sim_uart',
'category' => 'Other'
},
'aeMB0' => {
'module' => 'aeMB',
'module_name' => 'aeMB_top',
'instance' => 'aeMB',
'ports' => {
'aeMB_sys_ena_i' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:enable[0]',
'intfc_port' => 'enable_i'
}
},
'category' => 'Processor'
}
},
'parameters' => {
'ram_Aw' => 13,
'led_PORT_WIDTH' => ' 1',
'ram_Dw' => '32'
}
}, 'ip_gen' )
},
'ni_sep_test' => {
'top' => bless( {
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'type' => 'input',
'instance_name' => 'aeMB0',
'range' => '',
'intfc_port' => 'enable_i'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'range' => '',
'instance_name' => 'ni_sep0'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_sep0',
'type' => 'output'
},
'ni_credit_in' => {
'type' => 'input',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_sep0',
'intfc_port' => 'credit_in'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'type' => 'output',
'instance_name' => 'ni_sep0',
'range' => 'ni_Fw-1 : 0'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'range' => '',
'instance_name' => 'ni_sep0'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni_sep0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni_sep0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'type' => 'input',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni_sep0',
'intfc_port' => 'current_y'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => ''
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input'
}
}
},
'plug:interrupt_peripheral[0]' => {
'ports' => {
'ni_irq' => {
'intfc_port' => 'int_o',
'type' => 'output',
'range' => '',
'instance_name' => 'ni_sep0'
}
}
}
},
'instance_ids' => {
'aeMB0' => {
'module' => 'aeMB',
'module_name' => 'aeMB_top',
'instance' => 'aeMB',
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'enable_i'
}
},
'category' => 'Processor'
},
'ni_sep0' => {
'module' => 'ni_sep',
'module_name' => 'ni_sep',
'parameters' => {
'ni_NY' => {
'content' => '',
'deafult' => ' 2',
'global_param' => 'Parameter',
'info' => undef,
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => undef,
'deafult' => '32',
'content' => ''
},
'ni_DEBUG_EN' => {
'content' => '',
'deafult' => '0',
'global_param' => 'Parameter',
'info' => undef,
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_TOPOLOGY' => {
'type' => 'Fixed',
'info' => undef,
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => '"MESH"',
'content' => ''
},
'ni_ROUTE_NAME' => {
'deafult' => '"XY"',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => undef
},
'ni_V' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => '',
'deafult' => '2',
'content' => ''
},
'ni_NX' => {
'content' => '',
'deafult' => ' 2',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => undef,
'type' => 'Fixed'
},
'ni_B' => {
'deafult' => '4',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => ''
}
},
'category' => 'NoC',
'instance' => 'ni',
'ports' => {
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'range' => '',
'intfc_name' => 'socket:ni[0]'
},
'ni_irq' => {
'intfc_port' => 'int_o',
'type' => 'output',
'intfc_name' => 'plug:interrupt_peripheral[0]',
'range' => ''
},
'ni_current_x' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_y' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'intfc_port' => 'current_y'
},
'ni_credit_out' => {
'type' => 'output',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'range' => '',
'intfc_name' => 'socket:ni[0]'
},
'ni_credit_in' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'intfc_port' => 'credit_in'
},
'ni_flit_out' => {
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output',
'intfc_port' => 'flit_out'
}
}
},
'wishbone_bus0' => {
'category' => 'Bus',
'instance' => 'bus',
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus'
},
'single_port_ram0' => {
'module_name' => 'wb_single_port_ram',
'module' => 'single_port_ram',
'instance' => 'ram',
'parameters' => {
'ram_Dw' => {
'content' => '8,1024,1',
'deafult' => '32',
'global_param' => 'Parameter',
'info' => 'Memory data width in Bits.',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'deafult' => '12',
'content' => '4,31,1',
'type' => 'Spin-button',
'info' => 'Memory address width',
'redefine_param' => 1,
'global_param' => 'Parameter'
}
},
'category' => 'RAM'
},
'clk_source0' => {
'module' => 'clk_source',
'module_name' => 'clk_source',
'ports' => {
'ss_reset_in' => {
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'reset_i'
},
'ss_clk_in' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:clk[0]',
'intfc_port' => 'clk_i'
}
},
'instance' => 'ss',
'category' => 'Source'
}
},
'ports' => {
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_sep0'
},
'aeMB_sys_ena_i' => {
'type' => 'input',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'instance_name' => 'aeMB0',
'intfc_port' => 'enable_i'
},
'ni_credit_in' => {
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_sep0',
'intfc_port' => 'credit_in'
},
'ni_credit_out' => {
'type' => 'output',
'instance_name' => 'ni_sep0',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'instance_name' => 'ni_sep0',
'range' => '',
'intfc_name' => 'socket:ni[0]'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'intfc_port' => 'clk_i'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni_sep0',
'range' => 'ni_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
},
'ni_flit_in' => {
'instance_name' => 'ni_sep0',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni_sep0'
},
'ni_irq' => {
'type' => 'output',
'range' => '',
'intfc_name' => 'plug:interrupt_peripheral[0]',
'instance_name' => 'ni_sep0',
'intfc_port' => 'int_o'
},
'ni_flit_in_wr' => {
'instance_name' => 'ni_sep0',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
}
},
'parameters' => {
'ram_Dw' => '32',
'ram_Aw' => '12'
}
}, 'ip_gen' )
}
}
}, 'mpsoc' );
/lm32_noc.MPSOC
3,7 → 3,7
##
## Copyright (C) 2014-2016 Alireza Monemi
##
## This file is part of ProNoC 1.5.1
## This file is part of ProNoC 1.6.0
##
## WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
## MAY CAUSE UNEXPECTED BEHAIVOR.
10,2561 → 10,237
################################################################################
 
$lm32_noc = bless( {
'class_param' => {
'Cn_1' => '2\'b11',
'Cn_0' => '2\'b11'
},
'socs' => {
'test' => {
'top' => bless( {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_s_cti_i' => {
'intfc_port' => 'cti_i',
'intfc_name' => 'plug:wb_slave[0]',
'instance_name' => 'ni0',
'range' => 'ni_TAGw-1 : 0',
'type' => 'input'
},
'ni_s_cyc_i' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'cyc_i',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_s_stb_i' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'stb_i',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_s_sel_i' => {
'intfc_port' => 'sel_i',
'intfc_name' => 'plug:wb_slave[0]',
'instance_name' => 'ni0',
'range' => 'ni_SELw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_s_ack_o' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'ack_o',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_flit_in' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
},
'ni_s_addr_i' => {
'intfc_port' => 'adr_i',
'intfc_name' => 'plug:wb_slave[0]',
'range' => 'ni_S_Aw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_s_we_i' => {
'intfc_port' => 'we_i',
'intfc_name' => 'plug:wb_slave[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_s_rty_o' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'rty_o',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_s_dat_o' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'dat_o',
'range' => 'ni_Dw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_s_dat_i' => {
'intfc_port' => 'dat_i',
'intfc_name' => 'plug:wb_slave[0]',
'instance_name' => 'ni0',
'range' => 'ni_Dw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_s_err_o' => {
'intfc_port' => 'err_o',
'intfc_name' => 'plug:wb_slave[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'instance_name' => 'ni0',
'type' => 'output'
}
},
'interface' => {
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'plug:wb_slave[0]' => {
'ports' => {
'ni_s_rty_o' => {
'intfc_port' => 'rty_o',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_s_cti_i' => {
'intfc_port' => 'cti_i',
'instance_name' => 'ni0',
'range' => 'ni_TAGw-1 : 0',
'type' => 'input'
},
'ni_s_cyc_i' => {
'intfc_port' => 'cyc_i',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_s_dat_o' => {
'intfc_port' => 'dat_o',
'range' => 'ni_Dw-1 : 0',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_s_dat_i' => {
'intfc_port' => 'dat_i',
'range' => 'ni_Dw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_s_stb_i' => {
'intfc_port' => 'stb_i',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_s_err_o' => {
'intfc_port' => 'err_o',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_s_sel_i' => {
'intfc_port' => 'sel_i',
'range' => 'ni_SELw-1 : 0',
'instance_name' => 'ni0',
'type' => 'input'
},
'ni_s_ack_o' => {
'intfc_port' => 'ack_o',
'range' => '',
'instance_name' => 'ni0',
'type' => 'output'
},
'ni_s_addr_i' => {
'intfc_port' => 'adr_i',
'instance_name' => 'ni0',
'range' => 'ni_S_Aw-1 : 0',
'type' => 'input'
},
'ni_s_we_i' => {
'intfc_port' => 'we_i',
'range' => '',
'instance_name' => 'ni0',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'gpi0' => {
'module_name' => 'gpi',
'category' => 'GPI',
'instance' => 'gpi0',
'module' => 'gpi'
},
'clk_source0' => {
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'ext_int0' => {
'module_name' => 'ext_int',
'category' => 'interrupt',
'instance' => 'ext_int',
'module' => 'ext_int'
},
'ni0' => {
'parameters' => {
'ni_SSA_EN' => {
'info' => undef,
'deafult' => '"NO"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1
},
'ni_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_CONGESTION_INDEX' => {
'info' => undef,
'deafult' => '3',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out_wr',
'range' => '',
'type' => 'output'
},
'ni_s_cti_i' => {
'intfc_port' => 'cti_i',
'intfc_name' => 'plug:wb_slave[0]',
'range' => 'ni_TAGw-1 : 0',
'type' => 'input'
},
'ni_s_cyc_i' => {
'intfc_port' => 'cyc_i',
'intfc_name' => 'plug:wb_slave[0]',
'range' => '',
'type' => 'input'
},
'ni_s_stb_i' => {
'intfc_port' => 'stb_i',
'intfc_name' => 'plug:wb_slave[0]',
'range' => '',
'type' => 'input'
},
'ni_s_sel_i' => {
'intfc_port' => 'sel_i',
'intfc_name' => 'plug:wb_slave[0]',
'range' => 'ni_SELw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'current_y',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_s_ack_o' => {
'intfc_port' => 'ack_o',
'intfc_name' => 'plug:wb_slave[0]',
'range' => '',
'type' => 'output'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
},
'ni_s_addr_i' => {
'intfc_port' => 'adr_i',
'intfc_name' => 'plug:wb_slave[0]',
'range' => 'ni_S_Aw-1 : 0',
'type' => 'input'
},
'ni_s_we_i' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'we_i',
'range' => '',
'type' => 'input'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_s_rty_o' => {
'intfc_port' => 'rty_o',
'intfc_name' => 'plug:wb_slave[0]',
'range' => '',
'type' => 'output'
},
'ni_s_dat_o' => {
'intfc_port' => 'dat_o',
'intfc_name' => 'plug:wb_slave[0]',
'range' => 'ni_Dw-1 : 0',
'type' => 'output'
},
'ni_s_dat_i' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'dat_i',
'range' => 'ni_Dw-1 : 0',
'type' => 'input'
},
'ni_s_err_o' => {
'intfc_name' => 'plug:wb_slave[0]',
'intfc_port' => 'err_o',
'range' => '',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'Altera_single_port_ram0' => {
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'Altera_single_port_ram0',
'module' => 'Altera_single_port_ram'
}
}
}, 'ip_gen' )
},
'lm32_tile' => {
'top' => bless( {
'parameters' => {
'gpo_PORT_WIDTH' => ' 1',
'ram_Dw' => '32',
'ram_Aw' => '12'
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'lm320',
'range' => '',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'gpo_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
'noc_param' => {
'V' => 2,
'MUX_TYPE' => '"BINARY"',
'NY' => ' 2',
'ROUTE_SUBFUNC' => '"XY"',
'COMBINATION_TYPE' => '"COMB_NONSPEC"',
'AVC_ATOMIC_EN' => 0,
'FIRST_ARBITER_EXT_P_EN' => 0,
'ADD_PIPREG_AFTER_CROSSBAR' => '1\'b0',
'Fpay' => '32',
'SSA_EN' => '"NO"',
'C' => 2,
'DEBUG_EN' => '0',
'ROUTE_NAME' => '"XY"',
'VC_REALLOCATION_TYPE' => '"NONATOMIC"',
'NX' => ' 2',
'ESCAP_VC_MASK' => '2\'b01',
'CONGESTION_INDEX' => 3,
'B' => '4',
'TOPOLOGY' => '"MESH"'
},
'file_name' => undef,
'top_ip' => bless( {
'interface' => {
'plug:enable[0]' => {
'ports' => {
'processors_en' => {
'type' => 'input',
'instance_name' => 'IO',
'range' => '',
'intfc_port' => 'enable_i'
}
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'lm320',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'tiles' => {
'0' => {
'parameters' => {
'gpo_PORT_WIDTH' => 3,
'ram_Dw' => '32',
'ram_Aw' => '12'
}
}
},
'instance_ids' => {
'single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => 'Memory data width in Bits.',
'deafult' => '32',
'global_param' => 'Parameter',
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => 'Memory address width',
'deafult' => '12',
'global_param' => 'Parameter',
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'wb_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'single_port_ram'
'IO' => {
'ports' => {
'lm32_tile_1_gpo_port_o' => {
'intfc_port' => 'IO',
'range' => 'lm32_tile_1_gpo_PORT_WIDTH-1 : 0',
'instance_name' => 'lm32_tile_1',
'type' => 'output'
},
'lm320' => {
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'lm32',
'category' => 'Processor',
'instance' => 'cpu',
'module' => 'lm32'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'Source',
'instance' => 'ss',
'module' => 'clk_source'
},
'gpo0' => {
'parameters' => {
'gpo_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 'Parameter',
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPIO',
'instance' => 'gpo',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
'lm32_tile_2_gpo_port_o' => {
'type' => 'output',
'instance_name' => 'lm32_tile_2',
'range' => 'lm32_tile_2_gpo_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO'
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
}
}
}, 'ip_gen' ),
'tile_nums' => [
0,
1,
2,
3
]
},
'int_ni' => {
'top' => bless( {
'ports' => {
'ni0_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'ni0_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_V-1: 0',
'type' => 'output'
},
'ni0_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Xw-1 : 0',
'type' => 'input'
},
'ni0_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni0_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Yw-1 : 0',
'type' => 'input'
},
'ni0_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'input'
},
'ni0_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_V-1 : 0',
'type' => 'input'
},
'ni0_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'output'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni0_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni0_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni0_V-1: 0',
'type' => 'output'
},
'ni0_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni0_Xw-1 : 0',
'type' => 'input'
},
'ni0_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni0_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni0_Yw-1 : 0',
'type' => 'input'
},
'ni0_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'input'
},
'ni0_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni0_V-1 : 0',
'type' => 'input'
},
'ni0_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'output'
}
}
'lm32_tile_0_gpo_port_o' => {
'intfc_port' => 'IO',
'range' => 'lm32_tile_0_gpo_PORT_WIDTH-1 : 0',
'type' => 'output',
'instance_name' => 'lm32_tile_0'
},
'lm32_tile_3_gpo_port_o' => {
'type' => 'output',
'instance_name' => 'lm32_tile_3',
'range' => 'lm32_tile_3_gpo_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO'
}
}
},
'plug:reset[0]' => {
'ports' => {
'reset' => {
'range' => '',
'intfc_port' => 'reset_i',
'instance_name' => 'IO',
'type' => 'input'
}
}
},
'plug:clk[0]' => {
'ports' => {
'clk' => {
'type' => 'input',
'instance_name' => 'IO',
'intfc_port' => 'clk_i',
'range' => ''
}
},
'instance_ids' => {
'aeMB0' => {
'ports' => {
'aeMB0_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB0',
'module' => 'aeMB'
},
'ext_int0' => {
'module_name' => 'ext_int',
'category' => 'interrupt',
'instance' => 'ext_int0',
'module' => 'ext_int'
},
'clk_source0' => {
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'clk_source0',
'module' => 'clk_source'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni0_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_CONGESTION_INDEX' => {
'info' => undef,
'deafult' => '3',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_SSA_EN' => {
'info' => undef,
'deafult' => '"NO"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni0_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni0_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_V-1: 0',
'type' => 'output'
},
'ni0_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Xw-1 : 0',
'type' => 'input'
},
'ni0_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni0_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Yw-1 : 0',
'type' => 'input'
},
'ni0_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Fw-1 : 0',
'type' => 'input'
},
'ni0_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_V-1 : 0',
'type' => 'input'
},
'ni0_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Fw-1 : 0',
'type' => 'output'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni0',
'module' => 'ni'
},
'int_ctrl0' => {
'module_name' => 'int_ctrl',
'category' => 'interrupt',
'instance' => 'int_ctrl0',
'module' => 'int_ctrl'
},
'Altera_single_port_ram0' => {
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'Altera_single_port_ram0',
'module' => 'Altera_single_port_ram'
}
}
}
},
'ports' => {
'lm32_tile_2_gpo_port_o' => {
'intfc_name' => 'IO',
'range' => 'lm32_tile_2_gpo_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO',
'type' => 'output',
'instance_name' => 'lm32_tile_2'
},
'lm32_tile_1_gpo_port_o' => {
'instance_name' => 'lm32_tile_1',
'type' => 'output',
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'lm32_tile_1_gpo_PORT_WIDTH-1 : 0'
},
'clk' => {
'range' => '',
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'IO',
'type' => 'input'
},
'lm32_tile_3_gpo_port_o' => {
'range' => 'lm32_tile_3_gpo_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'type' => 'output',
'instance_name' => 'lm32_tile_3'
},
'reset' => {
'intfc_name' => 'plug:reset[0]',
'range' => '',
'intfc_port' => 'reset_i',
'instance_name' => 'IO',
'type' => 'input'
},
'processors_en' => {
'type' => 'input',
'instance_name' => 'IO',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'intfc_port' => 'enable_i'
},
'lm32_tile_0_gpo_port_o' => {
'instance_name' => 'lm32_tile_0',
'type' => 'output',
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'lm32_tile_0_gpo_PORT_WIDTH-1 : 0'
}
}, 'ip_gen' )
},
'ni_test' => {
'top' => bless( {
'parameters' => {
'ram_Dw' => '32',
'ram_Aw' => 12,
'led_PORT_WIDTH' => ' 1'
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
},
'instance_ids' => {
'IO' => {
'ports' => {
'clk' => {
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'intfc_port' => 'clk_i',
'type' => 'input'
},
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ss_reset_in' => {
'processors_en' => {
'type' => 'input',
'range' => '',
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]'
},
'reset' => {
'type' => 'input',
'range' => '',
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'intfc_name' => 'plug:reset[0]'
}
}
},
'lm32_tile_3' => {
'ports' => {
'lm32_tile_3_gpo_port_o' => {
'range' => 'lm32_tile_3_gpo_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'aeMB0' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB',
'module' => 'aeMB'
},
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPI',
'instance' => 'led',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'int_ctrl0' => {
'module_name' => 'int_ctrl',
'category' => 'interrupt',
'instance' => 'int_ctrl',
'module' => 'int_ctrl'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => undef,
'deafult' => 12,
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'timer0' => {
'module_name' => 'timer',
'category' => 'TIM',
'instance' => 'timer',
'module' => 'timer'
}
}
}, 'ip_gen' )
},
'tang' => {
'top' => bless( {
'parameters' => {
'ram_Dw' => '32',
'ram_Aw' => 13,
'led_PORT_WIDTH' => ' 1'
}
},
'ports' => {
'uart_readyfordata' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'altera_jtag_uart0',
'range' => '',
'type' => 'output'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'uart_dataavailable' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'altera_jtag_uart0',
'range' => '',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
'lm32_tile_2' => {
'ports' => {
'lm32_tile_2_gpo_port_o' => {
'intfc_port' => 'IO',
'range' => 'lm32_tile_2_gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output'
}
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'uart_readyfordata' => {
'intfc_port' => 'IO',
'instance_name' => 'altera_jtag_uart0',
'range' => '',
'type' => 'output'
},
'uart_dataavailable' => {
'intfc_port' => 'IO',
'instance_name' => 'altera_jtag_uart0',
'range' => '',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'aeMB0' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB',
'module' => 'aeMB'
},
'gpo0' => {
'parameters' => {
'led_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 1,
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'led_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPI',
'instance' => 'led',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'int_ctrl0' => {
'module_name' => 'int_ctrl',
'category' => 'interrupt',
'instance' => 'int_ctrl',
'module' => 'int_ctrl'
},
'altera_jtag_uart0' => {
'ports' => {
'uart_readyfordata' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => '',
'type' => 'output'
},
'uart_dataavailable' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => '',
'type' => 'output'
}
},
'module_name' => 'altera_jtag_uart_wb',
'category' => 'Jtag',
'instance' => 'uart',
'module' => 'altera_jtag_uart'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => undef,
'deafult' => 13,
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'timer0' => {
'module_name' => 'timer',
'category' => 'TIM',
'instance' => 'timer',
'module' => 'timer'
}
}
}, 'ip_gen' )
},
'test_ni_p' => {
'top' => bless( {
'parameters' => {
'ram_Dw' => '32',
'ram_Aw' => '10'
},
'ports' => {
'ni0_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'aeMB_sys_int_i' => {
'intfc_port' => 'int_i',
'intfc_name' => 'plug:interrupt_cpu[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni0_irq' => {
'intfc_port' => 'int_o',
'intfc_name' => 'plug:interrupt_peripheral[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni0_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_V-1: 0',
'type' => 'output'
},
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
},
'ni0_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Xw-1 : 0',
'type' => 'input'
},
'ni0_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni0_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'input'
},
'ni0_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Yw-1 : 0',
'type' => 'input'
},
'ni0_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'output'
},
'ni0_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni0_V-1 : 0',
'type' => 'input'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni0_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni0_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni0_V-1: 0',
'type' => 'output'
},
'ni0_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni0_Xw-1 : 0',
'type' => 'input'
},
'ni0_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni0_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni0_Yw-1 : 0',
'type' => 'input'
},
'ni0_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'input'
},
'ni0_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni0_V-1 : 0',
'type' => 'input'
},
'ni0_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni0_Fw-1 : 0',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
},
'plug:interrupt_cpu[0]' => {
'ports' => {
'aeMB_sys_int_i' => {
'intfc_port' => 'int_i',
'instance_name' => 'aeMB0',
'range' => '',
'type' => 'input'
}
}
},
'plug:interrupt_peripheral[0]' => {
'ports' => {
'ni0_irq' => {
'intfc_port' => 'int_o',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'aeMB0' => {
'ports' => {
'aeMB_sys_int_i' => {
'intfc_port' => 'int_i',
'intfc_name' => 'plug:interrupt_cpu[0]',
'range' => '',
'type' => 'input'
},
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'aeMB_top',
'category' => 'Processor',
'instance' => 'aeMB',
'module' => 'aeMB'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'source',
'instance' => 'ss',
'module' => 'clk_source'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni0_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_CONGESTION_INDEX' => {
'info' => undef,
'deafult' => '3',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_SSA_EN' => {
'info' => undef,
'deafult' => '"NO"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni0_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 1,
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni0_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni0_irq' => {
'intfc_port' => 'int_o',
'intfc_name' => 'plug:interrupt_peripheral[0]',
'range' => '',
'type' => 'output'
},
'ni0_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_V-1: 0',
'type' => 'output'
},
'ni0_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Xw-1 : 0',
'type' => 'input'
},
'ni0_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni0_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Yw-1 : 0',
'type' => 'input'
},
'ni0_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Fw-1 : 0',
'type' => 'input'
},
'ni0_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_V-1 : 0',
'type' => 'input'
},
'ni0_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni0_Fw-1 : 0',
'type' => 'output'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni0',
'module' => 'ni'
},
'Altera_single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => undef,
'deafult' => '32',
'global_param' => 1,
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => undef,
'deafult' => '10',
'global_param' => 1,
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'Altera_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'Altera_single_port_ram'
}
}
}, 'ip_gen' )
}
},
'setting' => {
'show_adv_setting' => 1,
'soc_path' => 'lib/soc',
'show_noc_setting' => 1,
'show_tile_setting' => 1
'lm32_tile_1' => {
'ports' => {
'lm32_tile_1_gpo_port_o' => {
'intfc_port' => 'IO',
'range' => 'lm32_tile_1_gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output'
}
}
},
'lm32_tile_0' => {
'ports' => {
'lm32_tile_0_gpo_port_o' => {
'intfc_name' => 'IO',
'intfc_port' => 'IO',
'range' => 'lm32_tile_0_gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
}
}
}, 'ip_gen' ),
'compile' => {
'board' => 'DE2_115',
'quartus_bin' => '/home/alireza/altera/13.0sp1/quartus/bin',
'type' => 'Verilator',
'modelsim_bin' => '/home/alireza/altera/modeltech/bin'
},
'tile' => {
'1' => {},
'0' => {
'param_setting' => 'Custom'
},
'3' => {},
'2' => {}
'socs' => {
'sep' => {},
'new_ni_test' => {},
'lm32_tile' => {
'tile_nums' => [
0,
1,
2,
3
]
},
'ni_sep_test' => {},
'lm32_tile$' => {}
},
'class_param' => {
'Cn_0' => '2\'b11',
'Cn_1' => '2\'b11'
},
'noc_type' => {
'ROUTER_TYPE' => '"VC_BASED"'
},
'mpsoc_name' => 'lm32_noc',
'gui_status' => {
'status' => 'ideal',
'timeout' => 0
},
'parameters_order' => {
'class_param' => [
'Cn_0',
'Cn_1'
],
'noc_type' => [
'ROUTER_TYPE'
],
'noc_param' => [
'NX',
'NY',
2582,34 → 258,32
'ADD_PIPREG_AFTER_CROSSBAR',
'FIRST_ARBITER_EXT_P_EN',
'AVC_ATOMIC_EN',
'ROUTE_SUBFUNC'
'ROUTE_SUBFUNC',
'CONGESTION_INDEX',
'ESCAP_VC_MASK'
],
'noc_type' => [
'ROUTER_TYPE'
]
'class_param' => [
'Cn_0',
'Cn_1'
]
},
'file_name' => undef,
'noc_param' => {
'COMBINATION_TYPE' => '"COMB_NONSPEC"',
'NY' => ' 2',
'DEBUG_EN' => '0',
'NX' => ' 2',
'VC_REALLOCATION_TYPE' => '"NONATOMIC"',
'V' => 2,
'ADD_PIPREG_AFTER_CROSSBAR' => '1\'b0',
'ROUTE_SUBFUNC' => '"XY"',
'C' => 2,
'ROUTE_NAME' => '"XY"',
'Fpay' => '32',
'MUX_TYPE' => '"BINARY"',
'B' => '4',
'TOPOLOGY' => '"MESH"',
'AVC_ATOMIC_EN' => 0,
'SSA_EN' => '"NO"',
'FIRST_ARBITER_EXT_P_EN' => 0
},
'noc_type' => {
'ROUTER_TYPE' => '"VC_BASED"'
},
'noc_indept_param' => {}
'noc_indept_param' => {},
'tile' => {
'0' => {
'param_setting' => 'Custom'
},
'2' => {},
'1' => {},
'3' => {}
},
'setting' => {
'show_adv_setting' => 1,
'soc_path' => 'lib/soc',
'show_noc_setting' => 1,
'show_tile_setting' => 1
},
'gui_status' => {
'timeout' => 0,
'status' => 'ideal'
}
}, 'mpsoc' );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.