OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/perl_gui/lib/soc
    from Rev 28 to Rev 34
    Reverse comparison

Rev 28 → Rev 34

/Tutorial_lm32.SOC
3,7 → 3,7
##
## Copyright (C) 2014-2016 Alireza Monemi
##
## This file is part of ProNoC 1.5.0
## This file is part of ProNoC 1.7.0
##
## WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
## MAY CAUSE UNEXPECTED BEHAIVOR.
10,203 → 10,174
################################################################################
 
$soc = bless( {
'instance_order' => [
'clk_source0',
'wishbone_bus0',
'gpo0',
'gpo1',
'ext_int0',
'timer0',
'jtag_wb0',
'lm320',
'dual_port_ram0'
],
'compile_pin' => {
'hex1_port_o' => 'HEX1',
'source_reset_in' => 'KEY',
'lm32_en_i' => '*VCC',
'source_clk_in' => 'CLOCK_50',
'hex0_port_o' => 'HEX0',
'ext_int_ext_int_i' => 'KEY'
},
'gpo1' => {},
'hdl_files' => undef,
'soc_name' => 'Tutorial_lm32',
'lm320' => {},
'ext_int0' => {},
'global_param' => {
'CORE_ID' => 0
},
'compile_pin_range_hsb' => {
'hex1_port_o' => '6',
'ext_int_ext_int_i' => '2',
'hex0_port_o' => '6'
},
'timer0' => {},
'compile_assign_type' => {
'ext_int_ext_int_i' => 'Direct',
'source_clk_in' => 'Direct',
'source_reset_in' => 'Negate(~)',
'lm32_en_i' => 'Direct'
},
'compile_pin_range_lsb' => {
'source_reset_in' => '0',
'hex1_port_o' => '0',
'hex0_port_o' => '0',
'ext_int_ext_int_i' => '1'
},
'compile_pin_pos' => {
'hex1_port_o' => [
8,
0
],
'lm32_en_i' => [
2,
0
],
'source_reset_in' => [
13,
0
],
'source_clk_in' => [
4,
0
],
'ext_int_ext_int_i' => [
13,
0
],
'hex0_port_o' => [
7,
0
]
},
'top_ip' => bless( {
'ports' => {
'lm32_en_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'instance_name' => 'lm320',
'intfc_name' => 'plug:enable[0]',
'instance_name' => 'lm320',
'range' => '',
'type' => 'input'
'range' => ''
},
'hex0_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'hex0_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'source_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'instance_name' => 'clk_source0',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input'
},
'hex1_port_o' => {
'instance_name' => 'gpo1',
'intfc_name' => 'IO',
'range' => 'hex1_PORT_WIDTH-1 : 0',
'type' => 'output',
'intfc_port' => 'IO'
},
'hex0_port_o' => {
'instance_name' => 'gpo0',
'range' => 'hex0_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
},
'ext_int_ext_int_i' => {
'intfc_port' => 'IO',
'type' => 'input',
'instance_name' => 'ext_int0',
'intfc_name' => 'IO',
'instance_name' => 'ext_int0',
'range' => 'ext_int_EXT_INT_NUM-1 : 0',
'type' => 'input'
'intfc_port' => 'IO'
},
'source_clk_in' => {
'intfc_port' => 'clk_i',
'range' => '',
'intfc_name' => 'plug:clk[0]',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'hex1_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo1',
'range' => 'hex1_PORT_WIDTH-1 : 0',
'type' => 'output'
}
'type' => 'input',
'intfc_port' => 'clk_i'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'lm32_en_i' => {
'intfc_port' => 'enable_i',
'instance_name' => 'lm320',
'range' => '',
'type' => 'input'
}
}
},
'IO' => {
'ports' => {
'hex0_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'hex0_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ext_int_ext_int_i' => {
'intfc_port' => 'IO',
'instance_name' => 'ext_int0',
'range' => 'ext_int_EXT_INT_NUM-1 : 0',
'type' => 'input'
},
'hex1_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo1',
'range' => 'hex1_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
},
'plug:clk[0]' => {
'ports' => {
'source_clk_in' => {
'intfc_port' => 'clk_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
'instance_ids' => {
'jtag_wb0' => {
'category' => 'JTAG',
'instance' => 'jtag_wb',
'module_name' => 'vjtag_wb',
'module' => 'jtag_wb'
},
'plug:reset[0]' => {
'ports' => {
'source_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'gpo0' => {
'parameters' => {
'hex0_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => 7,
'global_param' => 'Parameter',
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'hex0_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'hex0_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPIO',
'instance' => 'hex0',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
'category' => 'Bus'
},
'dual_port_ram0' => {
'module_name' => 'wb_dual_port_ram',
'category' => 'RAM',
'instance' => 'dual_port_ram0',
'module' => 'dual_port_ram'
},
'gpo1' => {
'parameters' => {
'hex1_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => 7,
'content' => '1,32,1',
'type' => 'Spin-button',
'global_param' => 'Parameter',
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
'info' => 'output port width',
'redefine_param' => 1
}
},
'category' => 'GPIO',
'instance' => 'hex1',
'ports' => {
'hex1_port_o' => {
'intfc_port' => 'IO',
'range' => 'hex1_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'range' => 'hex1_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPIO',
'instance' => 'hex1',
'module' => 'gpo'
'module' => 'gpo',
'module_name' => 'gpo'
},
'clk_source0' => {
'ports' => {
'source_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'source_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'Source',
'instance' => 'source',
'module' => 'clk_source'
},
'ext_int0' => {
'category' => 'Interrupt',
'ports' => {
'ext_int_ext_int_i' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'ext_int_EXT_INT_NUM-1 : 0',
'type' => 'input'
'type' => 'input',
'intfc_port' => 'IO'
}
},
'module_name' => 'ext_int',
'category' => 'Interrupt',
'instance' => 'ext_int',
'module' => 'ext_int'
'module' => 'ext_int',
'module_name' => 'ext_int'
},
'lm320' => {
'instance' => 'lm32',
'ports' => {
'lm32_en_i' => {
'intfc_port' => 'enable_i',
215,101 → 186,269
'type' => 'input'
}
},
'module_name' => 'lm32',
'category' => 'Processor',
'instance' => 'lm32',
'module' => 'lm32'
'module' => 'lm32',
'module_name' => 'lm32'
},
'jtag_wb0' => {
'parameters' => {
'jtag_wb_VJTAG_INDEX' => {
'info' => 'JTAG control host identifies each instance of this IP core by a unique index number. The default value is the tile ID number. You assign an index value between 0 to 255.',
'deafult' => 'CORE_ID',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Entry'
}
},
'module_name' => 'vjtag_wb',
'category' => 'JTAG',
'instance' => 'jtag_wb',
'module' => 'jtag_wb'
},
'dual_port_ram0' => {
'instance' => 'ram',
'category' => 'RAM',
'module' => 'dual_port_ram',
'module_name' => 'wb_dual_port_ram'
},
'clk_source0' => {
'module' => 'clk_source',
'module_name' => 'clk_source',
'category' => 'Source',
'ports' => {
'source_reset_in' => {
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input',
'intfc_port' => 'reset_i'
},
'source_clk_in' => {
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'intfc_port' => 'clk_i'
}
},
'instance' => 'source'
},
'timer0' => {
'module_name' => 'timer',
'instance' => 'timer',
'category' => 'Timer',
'instance' => 'timer',
'module' => 'timer'
}
}
'module' => 'timer',
'module_name' => 'timer'
},
'gpo0' => {
'module' => 'gpo',
'module_name' => 'gpo',
'ports' => {
'hex0_port_o' => {
'type' => 'output',
'range' => 'hex0_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'intfc_port' => 'IO'
}
},
'instance' => 'hex0',
'parameters' => {
'hex0_PORT_WIDTH' => {
'deafult' => 7,
'content' => '1,32,1',
'type' => 'Spin-button',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'output port width'
}
},
'category' => 'GPIO'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'lm32_en_i' => {
'type' => 'input',
'instance_name' => 'lm320',
'range' => '',
'intfc_port' => 'enable_i'
}
}
},
'plug:clk[0]' => {
'ports' => {
'source_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => ''
}
}
},
'plug:reset[0]' => {
'ports' => {
'source_reset_in' => {
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input',
'intfc_port' => 'reset_i'
}
}
},
'IO' => {
'ports' => {
'hex0_port_o' => {
'type' => 'output',
'instance_name' => 'gpo0',
'range' => 'hex0_PORT_WIDTH-1 : 0',
'intfc_port' => 'IO'
},
'ext_int_ext_int_i' => {
'range' => 'ext_int_EXT_INT_NUM-1 : 0',
'instance_name' => 'ext_int0',
'type' => 'input',
'intfc_port' => 'IO'
},
'hex1_port_o' => {
'instance_name' => 'gpo1',
'range' => 'hex1_PORT_WIDTH-1 : 0',
'type' => 'output',
'intfc_port' => 'IO'
}
}
}
}
}, 'ip_gen' ),
'jtag_wb0' => {},
'gui_status' => {
'timeout' => 0,
'status' => 'save_project'
},
'wishbone_bus0' => {},
'dual_port_ram0' => {
'version' => 6
},
'modules' => {},
'clk_source0' => {},
'soc_name' => 'Tutorial_lm32',
'compile' => {
'modelsim_bin' => '/home/alireza/altera/modeltech/bin',
'type' => 'Modelsim',
'board' => 'DE2_115',
'quartus_bin' => '/home/alireza/altera/13.0sp1/quartus/bin'
},
'instances' => {
'clk_source0' => {
'module_name' => 'clk_source',
'instance_name' => 'source',
'parameters' => {},
'module' => 'clk_source',
'plugs' => {
'clk' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'connect_socket' => undef,
'name' => 'clk'
}
}
},
'reset' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'reset',
'connect_id' => 'IO',
'connect_socket_num' => undef,
'connect_socket' => undef
}
}
}
},
'sockets' => {
'clk' => {
'connection_num' => 'multi connection',
'nums' => {
'0' => {
'name' => 'clk'
}
},
'value' => 1,
'type' => 'num'
},
'reset' => {
'connection_num' => 'multi connection',
'nums' => {
'0' => {
'name' => 'reset'
}
},
'value' => 1,
'type' => 'num'
}
},
'clk_source0' => {},
'category' => 'Source',
'parameters_order' => []
},
'gpo0' => {
'module_name' => 'gpo',
'instance_name' => 'hex0',
'parameters' => {
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => ' 3'
},
'PORT_WIDTH' => {
'value' => 7
},
'Aw' => {
'value' => ' 2'
},
'Dw' => {
'value' => 'PORT_WIDTH'
}
},
'module' => 'gpo',
'gpo0' => {},
'instance_name' => 'hex0',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
'connect_id' => 'clk_source0'
}
},
'value' => 1,
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 2432696320,
'name' => 'wb',
'connect_socket_num' => '0',
'width' => 5,
'connect_socket_num' => '0',
'name' => 'wb',
'end' => 2432696351,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O',
'connect_socket' => 'wb_slave'
}
},
'value' => 1,
'type' => 'num'
}
},
'clk' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk'
}
},
'value' => 1,
'type' => 'num'
}
},
'parameters' => {
'PORT_WIDTH' => {
'value' => 7
},
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'Dw' => {
'value' => 'PORT_WIDTH'
}
},
'sockets' => {},
'category' => 'GPIO',
'parameters_order' => [
'PORT_WIDTH',
'Aw',
316,108 → 455,231
'TAGw',
'SELw',
'Dw'
],
'sockets' => {},
'module_name' => 'gpo',
'category' => 'GPIO',
'module' => 'gpo'
]
},
'dual_port_ram0' => {
'dual_port_ram0' => {},
'instance_name' => 'dual_port_ram0',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'timer0' => {
'parameters' => {
'Aw' => {
'value' => ' 3'
},
'CNTw' => {
'value' => '32 '
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => '3'
},
'Dw' => {
'value' => ' 32'
}
},
'module_name' => 'timer',
'instance_name' => 'timer',
'category' => 'Timer',
'parameters_order' => [
'CNTw',
'Dw',
'Aw',
'TAGw',
'SELw'
],
'module' => 'timer',
'timer0' => {},
'plugs' => {
'reset' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'reset',
'name' => 'reset'
}
},
'value' => 1,
'type' => 'num'
},
'interrupt_peripheral' => {
'nums' => {
'0' => {
'name' => 'interrupt_peripheral',
'connect_socket_num' => '1',
'connect_id' => 'lm320',
'connect_socket' => 'interrupt_peripheral'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'clk'
}
},
'connection_num' => undef
},
'wb_slave' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket_num' => '3',
'width' => 5,
'base' => 2516582400,
'name' => 'wb',
'connect_id' => 'wishbone_bus0',
'end' => 2516582431,
'connect_socket' => 'wb_slave',
'addr' => '0x9600_0000 0x96ff_ffff PWM/Timer/Counter Ctrl'
}
},
'value' => 1,
'type' => 'num'
}
},
'sockets' => {}
},
'jtag_wb0' => {
'category' => 'JTAG',
'parameters_order' => [
'DW',
'AW',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'VJTAG_INDEX'
],
'module' => 'jtag_wb',
'sockets' => {},
'plugs' => {
'reset' => {
'nums' => {
'0' => {
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => 'clk',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0'
}
},
'connection_num' => undef
},
'wb_master' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_socket' => 'wb_master',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
'name' => 'wbm'
}
},
'type' => 'num'
'connection_num' => undef
}
},
'parameters' => {
'TAGw' => {
'value' => ' 3'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 2,
'nums' => {
'1' => {
'base' => 16384,
'width' => 'WB_Aw',
'connect_socket_num' => '5',
'name' => 'wb_b',
'end' => 32767,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
},
'0' => {
'base' => 0,
'width' => 'WB_Aw',
'connect_socket_num' => '4',
'name' => 'wb_a',
'end' => 16383,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
}
},
'type' => 'num'
}
},
'DW' => {
'value' => '32'
},
'SELw' => {
'value' => ' 4'
},
'AW' => {
'value' => '32'
},
'VJTAG_INDEX' => {
'value' => 'CORE_ID'
},
'S_Aw' => {
'value' => ' 7'
},
'M_Aw' => {
'value' => ' 32'
}
},
'jtag_wb0' => {},
'module_name' => 'vjtag_wb',
'instance_name' => 'jtag_wb'
},
'dual_port_ram0' => {
'parameters' => {
'SELw' => {
'value' => 'Dw/8'
},
'PORT_B_BURST_MODE' => {
'value' => '"ENABLED" '
},
'Aw' => {
'value' => '12'
},
'Dw' => {
'value' => '32'
},
'BTEw' => {
'value' => '2'
'INITIAL_EN' => {
'value' => '"YES"'
},
'RAM_INDEX' => {
'value' => 'CORE_ID'
},
'SELw' => {
'value' => 'Dw/8'
},
'WB_Aw' => {
'value' => 'Aw+2'
},
'RAM_INDEX' => {
'value' => 'CORE_ID'
},
'Aw' => {
'value' => '12'
},
'TAGw' => {
'value' => '3'
},
'CTIw' => {
'value' => '3'
},
'BYTE_WR_EN' => {
'value' => '"YES"'
},
'PORT_A_BURST_MODE' => {
'MEM_CONTENT_FILE_NAME' => {
'value' => '"ram0"'
},
'INIT_FILE_PATH' => {
'value' => 'SW_LOC'
},
'FPGA_VENDOR' => {
'value' => '"GENERIC"'
},
'PORT_B_BURST_MODE' => {
'value' => '"ENABLED"'
},
'CTIw' => {
'value' => '3'
'BTEw' => {
'value' => '2'
},
'FPGA_VENDOR' => {
'value' => '"ALTERA"'
}
'PORT_A_BURST_MODE' => {
'value' => '"ENABLED"'
}
},
'module_name' => 'wb_dual_port_ram',
'instance_name' => 'ram',
'dual_port_ram0' => {},
'category' => 'RAM',
'parameters_order' => [
'Dw',
'Aw',
430,416 → 692,183
'WB_Aw',
'RAM_INDEX',
'PORT_A_BURST_MODE',
'PORT_B_BURST_MODE'
'PORT_B_BURST_MODE',
'INITIAL_EN',
'MEM_CONTENT_FILE_NAME',
'INIT_FILE_PATH'
],
'module' => 'dual_port_ram',
'sockets' => {},
'module_name' => 'wb_dual_port_ram',
'category' => 'RAM',
'module' => 'dual_port_ram'
},
'wishbone_bus0' => {
'wishbone_bus0' => {},
'instance_name' => 'bus',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
}
},
'parameters' => {
'S' => {
'value' => 6
'plugs' => {
'reset' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'reset'
}
}
},
'SELw' => {
'value' => 'Dw/8'
},
'Dw' => {
'value' => '32'
},
'BTEw' => {
'value' => '2 '
},
'Aw' => {
'value' => '32'
},
'M' => {
'value' => 3
},
'TAGw' => {
'value' => '3'
},
'CTIw' => {
'value' => '3'
'clk' => {
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'clk'
}
},
'value' => 1,
'type' => 'num'
},
'wb_slave' => {
'nums' => {
'1' => {
'connect_id' => 'wishbone_bus0',
'end' => 32767,
'connect_socket' => 'wb_slave',
'addr' => '0x0000_0000 0x3fff_ffff RAM',
'width' => 'WB_Aw',
'connect_socket_num' => '5',
'name' => 'wb_b',
'base' => 16384
},
'0' => {
'addr' => '0x0000_0000 0x3fff_ffff RAM',
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'end' => 16383,
'name' => 'wb_a',
'base' => 0,
'connect_socket_num' => '4',
'width' => 'WB_Aw'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 2
}
},
'parameters_order' => [
'M',
'S',
'Dw',
'Aw',
'SELw',
'TAGw',
'CTIw',
'BTEw'
],
'sockets' => {
'wb_master' => {
'connection_num' => 'single connection',
'value' => 'M',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_master'
}
}
},
'wb_addr_map' => {
'connection_num' => 'single connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
}
},
'wb_slave' => {
'connection_num' => 'single connection',
'value' => 'S',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
}
}
},
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'module' => 'wishbone_bus'
},
'gpo1' => {
'instance_name' => 'hex1',
'gpo1' => {},
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 2432696352,
'width' => 5,
'connect_socket_num' => '1',
'name' => 'wb',
'end' => 2432696383,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
},
'type' => 'num'
}
},
'parameters' => {
'PORT_WIDTH' => {
'value' => 7
},
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'Dw' => {
'value' => 'PORT_WIDTH'
}
},
'parameters_order' => [
'PORT_WIDTH',
'Aw',
'TAGw',
'SELw',
'Dw'
],
'sockets' => {},
'module_name' => 'gpo',
'category' => 'GPIO',
'module' => 'gpo'
},
}
},
'lm320' => {
'instance_name' => 'lm32',
'parameters_order' => [
'INTR_NUM',
'CFG_PL_MULTIPLY',
'CFG_PL_BARREL_SHIFT',
'CFG_SIGN_EXTEND',
'CFG_MC_DIVIDE'
],
'category' => 'Processor',
'plugs' => {
'enable' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_socket' => undef,
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'enable'
}
},
'connection_num' => undef
},
'reset' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset'
}
},
'value' => 1,
'type' => 'num'
},
'wb_master' => {
'connection_num' => undef,
'value' => 2,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'1' => {
'connect_socket' => 'wb_master',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '2',
'name' => 'dwb',
'connect_socket' => 'wb_master'
'name' => 'dwb'
},
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1',
'name' => 'iwb',
'connect_socket' => 'wb_master'
'connect_socket' => 'wb_master',
'name' => 'iwb'
}
}
},
'enable' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'enable',
'connect_socket' => undef
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
'connect_socket_num' => '0'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
}
}
},
'sockets' => {
'interrupt_peripheral' => {
'type' => 'param',
'value' => 'INTR_NUM',
'nums' => {
'0' => {
'name' => 'interrupt_peripheral'
}
},
'connection_num' => 'single connection'
}
},
'module' => 'lm32',
'parameters' => {
'CFG_SIGN_EXTEND' => {
'value' => '"ENABLED"'
},
'CFG_MC_DIVIDE' => {
'value' => '"DISABLED"'
},
'CFG_PL_BARREL_SHIFT' => {
'value' => '"ENABLED"'
},
'CFG_SIGN_EXTEND' => {
'value' => '"ENABLED"'
},
'CFG_PL_MULTIPLY' => {
'value' => '"ENABLED"'
},
'INTR_NUM' => {
'value' => '32'
},
'CFG_MC_DIVIDE' => {
'value' => '"DISABLED"'
}
}
},
'lm320' => {},
'parameters_order' => [
'INTR_NUM',
'CFG_PL_MULTIPLY',
'CFG_PL_BARREL_SHIFT',
'CFG_SIGN_EXTEND',
'CFG_MC_DIVIDE'
],
'sockets' => {
'interrupt_peripheral' => {
'connection_num' => 'single connection',
'value' => 'INTR_NUM',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'interrupt_peripheral'
}
}
}
},
'module_name' => 'lm32',
'category' => 'Processor',
'module' => 'lm32'
'instance_name' => 'lm32',
'module_name' => 'lm32'
},
'clk_source0' => {
'instance_name' => 'source',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'clk',
'connect_socket' => undef
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'reset',
'connect_socket' => undef
}
}
}
},
'parameters' => {},
'clk_source0' => {},
'parameters_order' => [],
'sockets' => {
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'clk'
}
}
},
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'reset'
}
}
}
},
'module_name' => 'clk_source',
'category' => 'Source',
'module' => 'clk_source'
},
'ext_int0' => {
'module_name' => 'ext_int',
'ext_int0' => {},
'instance_name' => 'ext_int',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'lm320',
'connect_socket_num' => '0',
'name' => 'interrupt',
'connect_socket' => 'interrupt_peripheral'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '2',
'width' => 5,
'base' => 2650800128,
'name' => 'wb',
'connect_socket' => 'wb_slave',
'end' => 2650800159,
'connect_id' => 'wishbone_bus0',
'addr' => '0x9e00_0000 0x9eff_ffff IDE Controller'
}
}
}
},
'parameters' => {
'EXT_INT_NUM' => {
'value' => 2
},
'Dw' => {
'value' => '32'
},
'Aw' => {
'value' => '3'
},
848,216 → 877,257
},
'TAGw' => {
'value' => '3'
},
'Dw' => {
'value' => '32'
},
'EXT_INT_NUM' => {
'value' => 2
}
}
},
'ext_int0' => {},
'parameters_order' => [
'Dw',
'Aw',
'TAGw',
'SELw',
'EXT_INT_NUM'
],
'sockets' => {},
'module_name' => 'ext_int',
'category' => 'Interrupt',
'module' => 'ext_int'
},
'jtag_wb0' => {
'instance_name' => 'jtag_wb',
'module' => 'ext_int',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'name' => 'wbm',
'connect_socket' => 'wb_master'
}
}
},
'wb_slave' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_socket' => 'wb_slave',
'addr' => '0x9e00_0000 0x9eff_ffff IDE Controller',
'end' => 2650800159,
'connect_id' => 'wishbone_bus0',
'name' => 'wb',
'base' => 2650800128,
'connect_socket_num' => '2',
'width' => 5
}
},
'connection_num' => undef
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'type' => 'num',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
'connect_id' => 'clk_source0'
}
}
}
},
'connection_num' => undef
},
'interrupt_peripheral' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'interrupt',
'connect_socket_num' => '0',
'connect_id' => 'lm320',
'connect_socket' => 'interrupt_peripheral'
}
},
'connection_num' => undef
}
},
'parameters' => {
'AW' => {
'value' => '32'
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => ' 3'
},
'VJTAG_INDEX' => {
'value' => 'CORE_ID'
},
'DW' => {
'value' => '32'
},
'M_Aw' => {
'value' => ' 32'
},
'S_Aw' => {
'value' => ' 7'
}
},
'sockets' => {},
'category' => 'Interrupt',
'parameters_order' => [
'DW',
'AW',
'S_Aw',
'M_Aw',
'Dw',
'Aw',
'TAGw',
'SELw',
'VJTAG_INDEX'
],
'sockets' => {},
'module_name' => 'vjtag_wb',
'jtag_wb0' => {},
'category' => 'JTAG',
'module' => 'jtag_wb'
'EXT_INT_NUM'
]
},
'timer0' => {
'instance_name' => 'timer',
'plugs' => {
'reset' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'type' => 'num'
'gpo1' => {
'gpo1' => {},
'module_name' => 'gpo',
'instance_name' => 'hex1',
'parameters' => {
'PORT_WIDTH' => {
'value' => 7
},
'TAGw' => {
'value' => ' 3'
},
'SELw' => {
'value' => ' 4'
},
'Aw' => {
'value' => ' 2'
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '1',
'connect_id' => 'lm320',
'name' => 'interrupt_peripheral',
'connect_socket' => 'interrupt_peripheral'
}
},
'type' => 'num'
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'Dw' => {
'value' => 'PORT_WIDTH'
}
},
'module' => 'gpo',
'sockets' => {},
'plugs' => {
'wb_slave' => {
'nums' => {
'0' => {
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O',
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'end' => 2432696383,
'base' => 2432696352,
'name' => 'wb',
'connect_socket_num' => '1',
'width' => 5
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'clk'
}
},
'connection_num' => undef
},
'reset' => {
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
'connect_socket' => 'reset',
'name' => 'reset'
}
},
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'base' => 2516582400,
'width' => 5,
'connect_socket_num' => '3',
'name' => 'wb',
'end' => 2516582431,
'connect_socket' => 'wb_slave',
'connect_id' => 'wishbone_bus0',
'addr' => '0x9600_0000 0x96ff_ffff PWM/Timer/Counter Ctrl'
}
},
'type' => 'num'
}
},
'parameters' => {
'Aw' => {
'value' => ' 3'
},
'TAGw' => {
'value' => '3'
},
'SELw' => {
'value' => ' 4'
},
'Dw' => {
'value' => ' 32'
},
'CNTw' => {
'value' => '32 '
}
},
'parameters_order' => [
'CNTw',
'Dw',
'Aw',
'TAGw',
'SELw'
],
'sockets' => {},
'module_name' => 'timer',
'category' => 'Timer',
'module' => 'timer',
'timer0' => {}
}
'connection_num' => undef,
'type' => 'num',
'value' => 1
}
},
'category' => 'GPIO',
'parameters_order' => [
'PORT_WIDTH',
'Aw',
'TAGw',
'SELw',
'Dw'
]
},
'wishbone_bus0' => {
'parameters' => {
'Aw' => {
'value' => '32'
},
'M' => {
'value' => 3
},
'Dw' => {
'value' => '32'
},
'CTIw' => {
'value' => '3'
},
'SELw' => {
'value' => 'Dw/8'
},
'TAGw' => {
'value' => '3'
},
'BTEw' => {
'value' => '2 '
},
'S' => {
'value' => 6
}
},
'module_name' => 'wishbone_bus',
'wishbone_bus0' => {},
'instance_name' => 'bus',
'category' => 'Bus',
'parameters_order' => [
'M',
'S',
'Dw',
'Aw',
'SELw',
'TAGw',
'CTIw',
'BTEw'
],
'module' => 'wishbone_bus',
'plugs' => {
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => 'clk',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0'
}
},
'connection_num' => undef
},
'reset' => {
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket' => 'reset',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
}
},
'sockets' => {
'wb_slave' => {
'connection_num' => 'single connection',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
},
'value' => 'S',
'type' => 'param'
},
'wb_master' => {
'value' => 'M',
'type' => 'param',
'connection_num' => 'single connection',
'nums' => {
'0' => {
'name' => 'wb_master'
}
}
},
'wb_addr_map' => {
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
},
'connection_num' => 'single connection',
'type' => 'num',
'value' => 1
}
}
}
},
'instance_order' => [
'clk_source0',
'wishbone_bus0',
'gpo0',
'gpo1',
'ext_int0',
'timer0',
'jtag_wb0',
'lm320',
'dual_port_ram0'
],
'modules' => {},
'gui_status' => {
'status' => 'ideal',
'timeout' => 0
},
'global_param' => {
'CORE_ID' => 0
}
'gpo0' => {}
}, 'soc' );
/aeMB_tile.SOC
0,0 → 1,1312
#######################################################################
## File: aeMB_tile.SOC
##
## Copyright (C) 2014-2016 Alireza Monemi
##
## This file is part of ProNoC 1.7.0
##
## WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
## MAY CAUSE UNEXPECTED BEHAIVOR.
################################################################################
 
$soc = bless( {
'hdl_files' => undef,
'global_param' => {
'CORE_ID' => 3
},
'ni_master0' => {
'version' => 37
},
'compile_pin' => {
'ni_credit_out' => 'HEX0',
'aeMB_sys_ena_i' => '*VCC',
'ni_credit_in' => 'SW',
'led_port_o' => 'LEDR'
},
'instance_order' => [
'wishbone_bus0',
'clk_source0',
'aeMB0',
'gpo0',
'single_port_ram0',
'sim_uart0',
'ni_master0'
],
'ni0' => {
'version' => 0
},
'diagrame' => {
'show_reset' => 1,
'show_unused' => 1,
'show_clk' => 1
},
'compile_pin_range_lsb' => {
'ni_credit_in' => '0',
'ni_credit_out' => '0',
'led_port_o' => '0'
},
'compile_pin_pos' => {
'led_port_o' => [
19,
0
],
'ni_credit_out' => [
7,
0
],
'ni_credit_in' => [
17,
0
],
'aeMB_sys_ena_i' => [
2,
0
]
},
'compile_assign_type' => {
'ss_reset_in' => 'Direct',
'aeMB_sys_ena_i' => 'Direct',
'ni_credit_in' => 'Direct',
'ni_flit_in_wr' => 'Direct',
'ss_clk_in' => 'Direct',
'ni_current_x' => 'Direct',
'ni_flit_in' => 'Direct',
'ni_current_y' => 'Direct'
},
'aeMB0' => {},
'top_ip' => bless( {
'interface' => {
'socket:ni[0]' => {
'ports' => {
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
},
'ni_current_x' => {
'type' => 'input',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni_master0',
'intfc_port' => 'current_x'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni_master0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni_master0',
'range' => '',
'type' => 'input'
},
'ni_flit_out' => {
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni_master0',
'range' => '',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'output'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni_master0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
}
}
},
'plug:enable[0]' => {
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'instance_name' => 'aeMB0',
'range' => ''
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'range' => '',
'instance_name' => 'clk_source0',
'type' => 'input',
'intfc_port' => 'clk_i'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'type' => 'input',
'range' => '',
'instance_name' => 'clk_source0',
'intfc_port' => 'reset_i'
}
}
},
'IO' => {
'ports' => {
'led_port_o' => {
'type' => 'output',
'range' => 'led_PORT_WIDTH-1 : 0',
'instance_name' => 'gpo0',
'intfc_port' => 'IO'
}
}
}
},
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => '',
'intfc_name' => 'plug:reset[0]'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'instance_name' => 'ni_master0',
'range' => '',
'intfc_name' => 'socket:ni[0]'
},
'ni_credit_out' => {
'instance_name' => 'ni_master0',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'output',
'intfc_port' => 'credit_out'
},
'aeMB_sys_ena_i' => {
'type' => 'input',
'instance_name' => 'aeMB0',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'intfc_port' => 'enable_i'
},
'ni_credit_in' => {
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'credit_in'
},
'ni_flit_out' => {
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'led_port_o' => {
'intfc_port' => 'IO',
'type' => 'output',
'instance_name' => 'gpo0',
'range' => 'led_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO'
},
'ni_flit_in_wr' => {
'intfc_name' => 'socket:ni[0]',
'range' => '',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'flit_in_wr'
},
'ni_flit_in' => {
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'range' => 'ni_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Yw-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'instance_name' => 'clk_source0'
}
},
'instance_ids' => {
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'module' => 'wishbone_bus',
'category' => 'Bus',
'instance' => 'bus'
},
'sim_uart0' => {
'instance' => 'sim_uart',
'category' => 'Other',
'module_name' => 'simulator_UART',
'module' => 'sim_uart'
},
'aeMB0' => {
'category' => 'Processor',
'instance' => 'aeMB',
'ports' => {
'aeMB_sys_ena_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'intfc_name' => 'plug:enable[0]',
'range' => ''
}
},
'module' => 'aeMB',
'module_name' => 'aeMB_top'
},
'gpo0' => {
'category' => 'GPIO',
'parameters' => {
'led_PORT_WIDTH' => {
'deafult' => ' 1',
'content' => '1,32,1',
'type' => 'Spin-button',
'redefine_param' => 1,
'info' => 'output port width',
'global_param' => 'Parameter'
}
},
'ports' => {
'led_port_o' => {
'intfc_name' => 'IO',
'range' => 'led_PORT_WIDTH-1 : 0',
'type' => 'output',
'intfc_port' => 'IO'
}
},
'instance' => 'led',
'module' => 'gpo',
'module_name' => 'gpo'
},
'clk_source0' => {
'module_name' => 'clk_source',
'module' => 'clk_source',
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'range' => '',
'intfc_name' => 'plug:clk[0]',
'type' => 'input'
}
},
'instance' => 'ss',
'category' => 'Source'
},
'single_port_ram0' => {
'module_name' => 'wb_single_port_ram',
'module' => 'single_port_ram',
'category' => 'RAM',
'parameters' => {
'ram_Dw' => {
'redefine_param' => 1,
'info' => 'Memory data width in Bits.',
'global_param' => 'Parameter',
'type' => 'Spin-button',
'content' => '8,1024,1',
'deafult' => '32'
},
'ram_Aw' => {
'deafult' => 13,
'content' => '4,31,1',
'type' => 'Spin-button',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Memory address width'
}
},
'instance' => 'ram'
},
'ni_master0' => {
'module' => 'ni_master',
'module_name' => 'ni_master',
'ports' => {
'ni_credit_in' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input',
'intfc_port' => 'credit_in'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output'
},
'ni_flit_in_wr' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_y'
},
'ni_current_x' => {
'range' => 'ni_Xw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
}
},
'instance' => 'ni',
'category' => 'NoC',
'parameters' => {
'ni_Fpay' => {
'type' => 'Fixed',
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => '32',
'content' => ''
},
'ni_DEBUG_EN' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'deafult' => '0',
'content' => ''
},
'ni_C' => {
'content' => '',
'deafult' => 0,
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_NY' => {
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => ' 2'
},
'ni_V' => {
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '2'
},
'ni_ROUTE_NAME' => {
'type' => 'Fixed',
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'deafult' => '"XY"',
'content' => ''
},
'ni_TOPOLOGY' => {
'content' => '',
'deafult' => '"MESH"',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => ' 2'
},
'ni_B' => {
'deafult' => '4',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1
}
}
}
}
}, 'ip_gen' ),
'compile_pin_range_hsb' => {
'ni_credit_out' => '3',
'ni_credit_in' => '3',
'led_port_o' => '0'
},
'wishbone_bus0' => {},
'gui_status' => {
'status' => 'ideal',
'timeout' => 0
},
'modules' => {},
'single_port_ram0' => {
'version' => 19
},
'instances' => {
'wishbone_bus0' => {
'module' => 'wishbone_bus',
'sockets' => {
'wb_slave' => {
'value' => 'S',
'type' => 'param',
'connection_num' => 'single connection',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
}
},
'wb_master' => {
'connection_num' => 'single connection',
'nums' => {
'0' => {
'name' => 'wb_master'
}
},
'value' => 'M',
'type' => 'param'
},
'wb_addr_map' => {
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
},
'connection_num' => 'single connection',
'type' => 'num',
'value' => 1
}
},
'plugs' => {
'clk' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket' => 'reset',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0'
}
},
'connection_num' => undef
}
},
'category' => 'Bus',
'parameters_order' => [
'M',
'S',
'Dw',
'Aw',
'SELw',
'TAGw',
'CTIw',
'BTEw'
],
'module_name' => 'wishbone_bus',
'wishbone_bus0' => {},
'instance_name' => 'bus',
'parameters' => {
'S' => {
'value' => 4
},
'BTEw' => {
'value' => '2 '
},
'TAGw' => {
'value' => '3'
},
'SELw' => {
'value' => 'Dw/8'
},
'CTIw' => {
'value' => '3'
},
'Dw' => {
'value' => '32'
},
'M' => {
'value' => ' 4'
},
'Aw' => {
'value' => '32'
}
}
},
'sim_uart0' => {
'description_pdf' => undef,
'parameters' => {
'TAGw' => {
'value' => ' 3'
},
'BUFFER_SIZE' => {
'value' => '100'
},
'SELw' => {
'value' => ' 4'
},
'WAIT_COUNT' => {
'value' => '1000'
},
'S_Aw' => {
'value' => ' 7'
},
'Dw' => {
'value' => ' 32'
},
'M_Aw' => {
'value' => ' 32'
}
},
'instance_name' => 'sim_uart',
'module_name' => 'simulator_UART',
'parameters_order' => [
'Dw',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'BUFFER_SIZE',
'WAIT_COUNT'
],
'category' => 'Other',
'plugs' => {
'reset' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'reset',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'reset'
}
},
'connection_num' => undef
},
'clk' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'clk'
}
}
},
'wb_slave' => {
'nums' => {
'0' => {
'end' => 2768240641,
'connect_id' => 'wishbone_bus0',
'connect_socket' => 'wb_slave',
'addr' => '0xa500_0000 0xa5ff_ffff Debug',
'connect_socket_num' => '0',
'width' => 1,
'base' => 2768240640,
'name' => 'wb_slave'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
}
},
'sockets' => {},
'module' => 'sim_uart'
},
'aeMB0' => {
'parameters' => {
'AEMB_MUL' => {
'value' => ' 1'
},
'AEMB_ICH' => {
'value' => ' 11'
},
'AEMB_IWB' => {
'value' => ' 32'
},
'AEMB_BSF' => {
'value' => ' 1'
},
'AEMB_IDX' => {
'value' => ' 6'
},
'AEMB_XWB' => {
'value' => ' 7'
},
'STACK_SIZE' => {
'value' => '0x400'
},
'AEMB_DWB' => {
'value' => ' 32'
},
'HEAP_SIZE' => {
'value' => '0x400'
}
},
'instance_name' => 'aeMB',
'module_name' => 'aeMB_top',
'parameters_order' => [
'AEMB_IWB',
'AEMB_DWB',
'AEMB_XWB',
'AEMB_ICH',
'AEMB_IDX',
'AEMB_BSF',
'AEMB_MUL',
'STACK_SIZE',
'HEAP_SIZE'
],
'category' => 'Processor',
'plugs' => {
'reset' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'reset',
'name' => 'reset'
}
},
'connection_num' => undef
},
'interrupt_cpu' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'NC',
'connect_socket_num' => undef,
'connect_socket' => undef,
'name' => 'intrp'
}
},
'connection_num' => undef
},
'enable' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => undef,
'connect_id' => 'IO',
'connect_socket' => undef,
'name' => 'enable'
}
},
'connection_num' => undef
},
'clk' => {
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0'
}
},
'value' => 1,
'type' => 'num'
},
'wb_master' => {
'connection_num' => undef,
'nums' => {
'1' => {
'name' => 'dwb',
'connect_socket_num' => '3',
'connect_id' => 'wishbone_bus0',
'connect_socket' => 'wb_master'
},
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '2',
'connect_socket' => 'wb_master',
'name' => 'iwb'
}
},
'value' => 2,
'type' => 'num'
}
},
'sockets' => {},
'module' => 'aeMB',
'aeMB0' => {}
},
'gpo0' => {
'sockets' => {},
'gpo0' => {},
'plugs' => {
'clk' => {
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'clk'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'wb_slave' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_socket_num' => '2',
'width' => 5,
'name' => 'wb',
'base' => 2432696320,
'end' => 2432696351,
'connect_id' => 'wishbone_bus0',
'connect_socket' => 'wb_slave',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
},
'connection_num' => undef
},
'reset' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'reset',
'name' => 'reset'
}
},
'value' => 1,
'type' => 'num'
}
},
'module' => 'gpo',
'parameters_order' => [
'PORT_WIDTH',
'Aw',
'TAGw',
'SELw',
'Dw'
],
'category' => 'GPIO',
'instance_name' => 'led',
'module_name' => 'gpo',
'parameters' => {
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'PORT_WIDTH' => {
'value' => ' 1'
},
'SELw' => {
'value' => ' 4'
},
'Dw' => {
'value' => 'PORT_WIDTH'
}
}
},
'clk_source0' => {
'module' => 'clk_source',
'sockets' => {
'reset' => {
'connection_num' => 'multi connection',
'nums' => {
'0' => {
'name' => 'reset'
}
},
'value' => 1,
'type' => 'num'
},
'clk' => {
'nums' => {
'0' => {
'name' => 'clk'
}
},
'connection_num' => 'multi connection',
'type' => 'num',
'value' => 1
}
},
'plugs' => {
'reset' => {
'nums' => {
'0' => {
'connect_socket' => undef,
'connect_socket_num' => undef,
'connect_id' => 'IO',
'name' => 'reset'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'clk' => {
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => undef,
'connect_id' => 'IO',
'connect_socket_num' => undef
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
}
},
'category' => 'Source',
'clk_source0' => {},
'parameters_order' => [],
'module_name' => 'clk_source',
'instance_name' => 'ss',
'parameters' => {}
},
'single_port_ram0' => {
'module_name' => 'wb_single_port_ram',
'instance_name' => 'ram',
'parameters' => {
'WB_Aw' => {
'value' => 'Aw+2'
},
'TAGw' => {
'value' => '3'
},
'SELw' => {
'value' => 'Dw/8'
},
'MEM_CONTENT_FILE_NAME' => {
'value' => '"ram0"'
},
'BYTE_WR_EN' => {
'value' => '"YES"'
},
'CTIw' => {
'value' => '3'
},
'INIT_FILE_PATH' => {
'value' => 'SW_LOC'
},
'BTEw' => {
'value' => '2'
},
'JTAG_INDEX' => {
'value' => 'CORE_ID'
},
'FPGA_VENDOR' => {
'value' => '"GENERIC"'
},
'Aw' => {
'value' => 13
},
'Dw' => {
'value' => '32'
},
'JTAG_CONNECT' => {
'value' => '"DISABLED"'
},
'INITIAL_EN' => {
'value' => '"YES"'
},
'BURST_MODE' => {
'value' => '"ENABLED"'
}
},
'single_port_ram0' => {},
'module' => 'single_port_ram',
'plugs' => {
'clk' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket' => 'clk',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk'
}
},
'value' => 1,
'type' => 'num'
},
'wb_slave' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'base' => 0,
'name' => 'wb',
'connect_socket_num' => '1',
'width' => 'WB_Aw',
'addr' => '0x0000_0000 0x3fff_ffff RAM',
'connect_socket' => 'wb_slave',
'end' => 32767,
'connect_id' => 'wishbone_bus0'
}
},
'connection_num' => undef
},
'reset' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'reset',
'name' => 'reset'
}
},
'connection_num' => undef
}
},
'sockets' => {},
'category' => 'RAM',
'parameters_order' => [
'Dw',
'Aw',
'BYTE_WR_EN',
'FPGA_VENDOR',
'JTAG_CONNECT',
'JTAG_INDEX',
'TAGw',
'SELw',
'CTIw',
'BTEw',
'WB_Aw',
'BURST_MODE',
'MEM_CONTENT_FILE_NAME',
'INITIAL_EN',
'INIT_FILE_PATH'
]
},
'ni_master0' => {
'module' => 'ni_master',
'plugs' => {
'clk' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'clk',
'name' => 'clk'
}
},
'value' => 1,
'type' => 'num'
},
'wb_slave' => {
'connection_num' => undef,
'nums' => {
'0' => {
'end' => 3087008767,
'connect_id' => 'wishbone_bus0',
'connect_socket' => 'wb_slave',
'addr' => '0xb800_0000 0xbfff_ffff custom devices',
'name' => 'wb_slave',
'base' => 3087007744,
'connect_socket_num' => '3',
'width' => 10
}
},
'value' => 1,
'type' => 'num'
},
'wb_master' => {
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket' => 'wb_master',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'name' => 'wb_send'
},
'1' => {
'name' => 'wb_receive',
'connect_socket' => 'wb_master',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1'
}
},
'value' => 2,
'type' => 'num'
},
'interrupt_peripheral' => {
'nums' => {
'0' => {
'name' => 'interrupt',
'connect_id' => 'NC',
'connect_socket_num' => undef,
'connect_socket' => undef
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'reset' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket' => 'reset',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset'
}
}
}
},
'sockets' => {
'ni' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'ni'
}
},
'connection_num' => 'single connection'
}
},
'category' => 'NoC',
'parameters_order' => [
'CLASS_HDR_WIDTH',
'ROUTING_HDR_WIDTH',
'DST_ADR_HDR_WIDTH',
'SRC_ADR_HDR_WIDTH',
'TOPOLOGY',
'ROUTE_NAME',
'NX',
'NY',
'C',
'V',
'B',
'Fpay',
'MAX_TRANSACTION_WIDTH',
'MAX_BURST_SIZE',
'DEBUG_EN',
'Dw',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'Xw',
'Yw',
'Fw',
'CRC_EN'
],
'module_name' => 'ni_master',
'instance_name' => 'ni',
'description_pdf' => '/mpsoc/src_peripheral/ni/NI_master.pdf',
'parameters' => {
'ROUTE_NAME' => {
'value' => '"XY"'
},
'B' => {
'value' => '4'
},
'NX' => {
'value' => ' 2'
},
'CRC_EN' => {
'value' => '"NO"'
},
'Fw' => {
'value' => '2+V+Fpay'
},
'DST_ADR_HDR_WIDTH' => {
'value' => '8'
},
'COMBINATION_TYPE' => {
'value' => '"COMB_NONSPEC"'
},
'TOPOLOGY' => {
'value' => '"MESH"'
},
'MAX_TRANSACTION_WIDTH' => {
'value' => '13'
},
'V' => {
'value' => '2'
},
'MUX_TYPE' => {
'value' => '"BINARY"'
},
'TAGw' => {
'value' => '3'
},
'ROUTING_HDR_WIDTH' => {
'value' => '8'
},
'ADD_PIPREG_AFTER_CROSSBAR' => {
'value' => '1\'b0'
},
'CONGESTION_INDEX' => {
'value' => 3
},
'ROUTE_SUBFUNC' => {
'value' => '"XY"'
},
'C' => {
'value' => 0
},
'CLASS_HDR_WIDTH' => {
'value' => '8'
},
'Yw' => {
'value' => 'log2(NY)'
},
'SSA_EN' => {
'value' => '"NO"'
},
'DEBUG_EN' => {
'value' => '0'
},
'FIRST_ARBITER_EXT_P_EN' => {
'value' => 0
},
'Fpay' => {
'value' => '32'
},
'NY' => {
'value' => ' 2'
},
'ROUTE_TYPE' => {
'value' => ' '
},
'VC_REALLOCATION_TYPE' => {
'value' => '"NONATOMIC"'
},
'Xw' => {
'value' => 'log2(NX)'
},
'MAX_BURST_SIZE' => {
'value' => '32'
},
'P' => {
'value' => '5'
},
'SELw' => {
'value' => '4'
},
'AVC_ATOMIC_EN' => {
'value' => 0
},
'S_Aw' => {
'value' => '8'
},
'ESCAP_VC_MASK' => {
'value' => '2\'b01'
},
'M_Aw' => {
'value' => '32'
},
'Dw' => {
'value' => '32'
},
'SRC_ADR_HDR_WIDTH' => {
'value' => '8'
}
}
}
},
'sim_uart0' => {
'version' => 6
},
'compile' => {
'board' => 'DE2_115',
'type' => 'Modelsim',
'quartus_bin' => '/home/alireza/altera/13.0sp1/quartus/bin',
'modelsim_bin' => '/home/alireza/altera/modeltech/bin'
},
'new_ni0' => {
'version' => 12
},
'gpo0' => {
'version' => 1
},
'clk_source0' => {},
'soc_name' => 'aeMB_tile'
}, 'soc' );
/lm32_tile.SOC
3,7 → 3,7
##
## Copyright (C) 2014-2016 Alireza Monemi
##
## This file is part of ProNoC 1.5.0
## This file is part of ProNoC 1.7.0
##
## WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT
## MAY CAUSE UNEXPECTED BEHAIVOR.
10,180 → 10,212
################################################################################
 
$soc = bless( {
'hdl_files' => undef,
'soc_name' => 'lm32_tile',
'modules' => {},
'gui_status' => {
'status' => 'ideal',
'timeout' => 0
},
'wishbone_bus0' => {},
'jtag_wb0' => {},
'single_port_ram0' => {
'version' => 19
},
'gpo0' => {
'version' => 1
},
'instances' => {
'single_port_ram0' => {
'single_port_ram0' => {},
'instance_name' => 'ram',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'wishbone_bus0' => {
'module' => 'wishbone_bus',
'plugs' => {
'clk' => {
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'clk'
}
},
'value' => 1,
'type' => 'num'
},
'reset' => {
'nums' => {
'0' => {
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
}
},
'sockets' => {
'wb_addr_map' => {
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
},
'connection_num' => 'single connection',
'type' => 'num',
'value' => 1
},
'wb_master' => {
'nums' => {
'0' => {
'connect_socket_num' => '0',
'width' => 'WB_Aw',
'base' => 0,
'name' => 'wb',
'connect_socket' => 'wb_slave',
'end' => 16383,
'connect_id' => 'wishbone_bus0',
'addr' => '0x0000_0000 0x3fff_ffff RAM'
'name' => 'wb_master'
}
}
}
},
'parameters' => {
'SELw' => {
'value' => 'Dw/8'
},
'Dw' => {
'value' => '32'
},
'BTEw' => {
'value' => '2'
},
'WB_Aw' => {
'value' => 'Aw+2'
},
'Aw' => {
'value' => '12'
},
'TAGw' => {
'value' => '3'
},
'JTAG_INDEX' => {
'value' => 'CORE_ID'
},
'BURST_MODE' => {
'value' => '"ENABLED"'
},
'JTAG_CONNECT' => {
'value' => '"DISABLED"'
},
'BYTE_WR_EN' => {
'value' => '"YES"'
},
'INIT_FILE_NAME' => {
'value' => '"ram0"'
},
'connection_num' => 'single connection',
'type' => 'param',
'value' => 'M'
},
'wb_slave' => {
'connection_num' => 'single connection',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
},
'CTIw' => {
'value' => '3'
},
'FPGA_VENDOR' => {
'value' => '"ALTERA"'
}
},
'parameters_order' => [
'Dw',
'Aw',
'BYTE_WR_EN',
'FPGA_VENDOR',
'JTAG_CONNECT',
'JTAG_INDEX',
'TAGw',
'SELw',
'CTIw',
'BTEw',
'WB_Aw',
'BURST_MODE'
],
'sockets' => {},
'module_name' => 'wb_single_port_ram',
'category' => 'RAM',
'module' => 'single_port_ram'
},
'value' => 'S',
'type' => 'param'
}
},
'category' => 'Bus',
'parameters_order' => [
'M',
'S',
'Dw',
'Aw',
'SELw',
'TAGw',
'CTIw',
'BTEw'
],
'wishbone_bus0' => {},
'module_name' => 'wishbone_bus',
'instance_name' => 'bus',
'parameters' => {
'TAGw' => {
'value' => '3'
},
'SELw' => {
'value' => 'Dw/8'
},
'CTIw' => {
'value' => '3'
},
'S' => {
'value' => 3
},
'BTEw' => {
'value' => '2 '
},
'Aw' => {
'value' => '32'
},
'Dw' => {
'value' => '32'
},
'M' => {
'value' => 5
}
}
},
'lm320' => {
'instance_name' => 'cpu',
'parameters_order' => [
'INTR_NUM',
'CFG_PL_MULTIPLY',
'CFG_PL_BARREL_SHIFT',
'CFG_SIGN_EXTEND',
'CFG_MC_DIVIDE'
],
'category' => 'Processor',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 2,
'type' => 'num',
'nums' => {
'1' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1',
'name' => 'dwb',
'connect_socket' => 'wb_master'
},
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '0',
'name' => 'iwb',
'connect_socket' => 'wb_master'
}
}
},
'reset' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket' => 'reset',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0'
}
}
},
'enable' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'enable',
'connect_socket' => undef
'connect_socket' => undef,
'name' => 'enable'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
'name' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
}
'wb_master' => {
'nums' => {
'0' => {
'connect_socket' => 'wb_master',
'connect_socket_num' => '0',
'connect_id' => 'wishbone_bus0',
'name' => 'iwb'
},
'1' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '1',
'connect_socket' => 'wb_master',
'name' => 'dwb'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 2
}
},
'sockets' => {
'interrupt_peripheral' => {
'value' => 'INTR_NUM',
'type' => 'param',
'connection_num' => 'single connection',
'nums' => {
'0' => {
'name' => 'interrupt_peripheral'
}
}
}
},
'module' => 'lm32',
'parameters' => {
'INTR_NUM' => {
'value' => '32'
},
'CFG_PL_MULTIPLY' => {
'value' => '"ENABLED"'
},
'CFG_PL_BARREL_SHIFT' => {
'value' => '"ENABLED"'
},
190,970 → 222,977
'CFG_SIGN_EXTEND' => {
'value' => '"ENABLED"'
},
'CFG_PL_MULTIPLY' => {
'value' => '"ENABLED"'
},
'INTR_NUM' => {
'value' => '32'
},
'CFG_MC_DIVIDE' => {
'value' => '"DISABLED"'
}
},
'instance_name' => 'cpu',
'lm320' => {},
'parameters_order' => [
'INTR_NUM',
'CFG_PL_MULTIPLY',
'CFG_PL_BARREL_SHIFT',
'CFG_SIGN_EXTEND',
'CFG_MC_DIVIDE'
],
'sockets' => {
'interrupt_peripheral' => {
'connection_num' => 'single connection',
'value' => 'INTR_NUM',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'interrupt_peripheral'
}
}
}
'module_name' => 'lm32'
},
'gpo0' => {
'module' => 'gpo',
'sockets' => {},
'plugs' => {
'wb_slave' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'base' => 2432696320,
'name' => 'wb',
'width' => 5,
'connect_socket_num' => '1',
'connect_id' => 'wishbone_bus0',
'end' => 2432696351,
'connect_socket' => 'wb_slave',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
}
},
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0'
}
},
'connection_num' => undef
},
'reset' => {
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0'
}
},
'connection_num' => undef,
'type' => 'num',
'value' => 1
}
},
'category' => 'GPIO',
'parameters_order' => [
'PORT_WIDTH',
'Aw',
'TAGw',
'SELw',
'Dw'
],
'module_name' => 'gpo',
'instance_name' => 'gpo',
'parameters' => {
'Dw' => {
'value' => 'PORT_WIDTH'
},
'Aw' => {
'value' => ' 2'
},
'TAGw' => {
'value' => ' 3'
},
'PORT_WIDTH' => {
'value' => ' 1'
},
'SELw' => {
'value' => ' 4'
}
},
'module_name' => 'lm32',
'category' => 'Processor',
'module' => 'lm32'
},
'description_pdf' => undef
},
'clk_source0' => {
'instance_name' => 'ss',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'clk',
'connect_socket' => undef
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'connect_id' => 'IO',
'connect_socket_num' => undef,
'name' => 'reset',
'connect_socket' => undef
'connect_socket' => undef,
'name' => 'reset'
}
}
}
},
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket' => undef,
'connect_socket_num' => undef,
'connect_id' => 'IO'
}
},
'connection_num' => undef
}
},
'parameters' => {},
'clk_source0' => {},
'parameters_order' => [],
'sockets' => {
'clk' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'clk'
}
}
},
'reset' => {
'connection_num' => 'multi connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'reset'
}
}
}
},
'module_name' => 'clk_source',
'category' => 'Source',
'module' => 'clk_source'
},
'gpo0' => {
'gpo0' => {},
'instance_name' => 'gpo',
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '1',
'width' => 5,
'base' => 2432696320,
'name' => 'wb',
'connect_socket' => 'wb_slave',
'end' => 2432696351,
'connect_id' => 'wishbone_bus0',
'addr' => '0x9100_0000 0x91ff_ffff General-Purpose I/O'
}
}
}
},
'parameters' => {
'PORT_WIDTH' => {
'value' => ' 1'
},
'Aw' => {
'value' => ' 2'
},
'SELw' => {
'value' => ' 4'
},
'TAGw' => {
'value' => ' 3'
},
'Dw' => {
'value' => 'PORT_WIDTH'
}
},
'parameters_order' => [
'PORT_WIDTH',
'Aw',
'TAGw',
'SELw',
'Dw'
],
'sockets' => {},
'module_name' => 'gpo',
'category' => 'GPIO',
'module' => 'gpo'
},
'wishbone_bus0' => {
'wishbone_bus0' => {},
'instance_name' => 'bus',
'plugs' => {
},
'connection_num' => 'multi connection',
'type' => 'num',
'value' => 1
},
'clk' => {
'connection_num' => undef,
'type' => 'num',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
'name' => 'clk'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
}
},
'connection_num' => 'multi connection'
}
},
'parameters' => {
'S' => {
'value' => 3
},
'SELw' => {
'value' => 'Dw/8'
},
'Dw' => {
'value' => '32'
},
'BTEw' => {
'value' => '2 '
},
'Aw' => {
'value' => '32'
},
'M' => {
'value' => 4
},
'TAGw' => {
'value' => '3'
},
'CTIw' => {
'value' => '3'
}
},
'parameters_order' => [
'M',
'S',
'Dw',
'Aw',
'SELw',
'TAGw',
'CTIw',
'BTEw'
],
'sockets' => {
'wb_master' => {
'connection_num' => 'single connection',
'value' => 'M',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_master'
}
}
'module' => 'clk_source',
'parameters_order' => [],
'clk_source0' => {},
'category' => 'Source',
'instance_name' => 'ss',
'module_name' => 'clk_source',
'parameters' => {}
},
'jtag_wb0' => {
'parameters' => {
'M_Aw' => {
'value' => ' 32'
},
'S_Aw' => {
'value' => ' 7'
},
'VJTAG_INDEX' => {
'value' => 'CORE_ID'
},
'wb_addr_map' => {
'connection_num' => 'single connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'wb_addr_map'
}
}
},
'wb_slave' => {
'connection_num' => 'single connection',
'value' => 'S',
'type' => 'param',
'nums' => {
'0' => {
'name' => 'wb_slave'
}
}
}
},
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'instance_name' => 'ni',
'plugs' => {
'wb_master' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '2',
'name' => 'wb_master',
'connect_socket' => 'wb_master'
}
}
},
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk',
'connect_socket' => 'clk'
}
}
},
'interrupt_peripheral' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'lm320',
'connect_socket_num' => '0',
'name' => 'int_peripheral',
'connect_socket' => 'interrupt_peripheral'
}
}
},
'reset' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'reset',
'connect_socket' => 'reset'
}
}
},
'wb_slave' => {
'DW' => {
'value' => '32'
},
'SELw' => {
'value' => ' 4'
},
'AW' => {
'value' => '32'
},
'TAGw' => {
'value' => ' 3'
}
},
'jtag_wb0' => {},
'module_name' => 'vjtag_wb',
'instance_name' => 'jtag_wb',
'category' => 'JTAG',
'parameters_order' => [
'DW',
'AW',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'VJTAG_INDEX'
],
'module' => 'jtag_wb',
'sockets' => {},
'plugs' => {
'clk' => {
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'connect_socket_num' => '2',
'width' => 9,
'base' => 3087007744,
'name' => 'wb_slave',
'connect_socket' => 'wb_slave',
'end' => 3087008255,
'connect_id' => 'wishbone_bus0',
'addr' => '0xb800_0000 0xbfff_ffff custom devices'
'connect_socket' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'name' => 'clk'
}
}
}
},
'parameters' => {
'Dw' => {
'value' => ' 32'
},
'DEBUG_EN' => {
'value' => '0'
},
'NY' => {
'value' => ' 2'
},
'NX' => {
'value' => ' 2'
},
'V' => {
'value' => ' 4'
},
'CONGESTION_INDEX' => {
'value' => '3'
},
'COMB_PCK_SIZE_W' => {
'value' => '12'
},
'Fw' => {
'value' => '2+V+Fpay'
},
'TAGw' => {
'value' => '3'
},
'COMB_MEM_PTR_W' => {
'value' => '20'
},
'M_Aw' => {
'value' => '32'
},
'ROUTE_NAME' => {
'value' => '"XY"'
},
'Xw ' => {
'value' => 'log2(NX)'
},
'Fpay' => {
'value' => ' 32'
},
'ROUTE_TYPE' => {
'value' => '"DETERMINISTIC"'
},
'SELw' => {
'value' => '4 '
},
'P' => {
'value' => ' 5'
},
'B' => {
'value' => ' 4'
},
'S_Aw' => {
'value' => '7'
},
'TOPOLOGY' => {
'value' => '"MESH"'
},
'Xw' => {
'value' => 'log2(NX)'
},
'Yw' => {
'value' => 'log2(NY)'
},
'SSA_EN' => {
'value' => '"NO"'
},
'Xwj' => {
'value' => 'fvf'
}
},
'parameters_order' => [
'V',
'B',
'NX',
'NY',
'Fpay',
'TOPOLOGY',
'ROUTE_NAME',
'DEBUG_EN',
'COMB_MEM_PTR_W',
'COMB_PCK_SIZE_W',
'Dw',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'Yw',
'Fw',
'Xw'
],
'sockets' => {
'ni' => {
'connection_num' => 'single connection',
'value' => 1,
'type' => 'num',
'nums' => {
'0' => {
'name' => 'ni'
}
}
}
},
'ni0' => {},
'module_name' => 'ni',
'category' => 'NoC',
'module' => 'ni'
},
'jtag_wb0' => {
'instance_name' => 'jtag_wb0',
'plugs' => {
'value' => 1,
'type' => 'num'
},
'wb_master' => {
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '3',
'connect_id' => 'wishbone_bus0',
'name' => 'wbm',
'connect_socket' => 'wb_master'
'connect_socket' => 'wb_master',
'name' => 'wbm'
}
},
'type' => 'num'
'connection_num' => undef,
'type' => 'num',
'value' => 1
},
'reset' => {
'nums' => {
'0' => {
'connect_socket' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset'
}
},
'connection_num' => undef,
'value' => 1,
'type' => 'num',
'value' => 1
}
}
},
'ni_master0' => {
'module' => 'ni_master',
'sockets' => {
'ni' => {
'nums' => {
'0' => {
'name' => 'ni'
}
},
'connection_num' => 'single connection',
'type' => 'num',
'value' => 1
}
},
'plugs' => {
'interrupt_peripheral' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'nums' => {
'0' => {
'connect_socket_num' => '0',
'connect_id' => 'lm320',
'connect_socket' => 'interrupt_peripheral',
'name' => 'interrupt'
}
}
},
'reset' => {
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'reset',
'connect_socket' => 'reset'
}
},
'value' => 1,
'type' => 'num'
},
'wb_slave' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'end' => 3087008767,
'connect_id' => 'wishbone_bus0',
'addr' => '0xb800_0000 0xbfff_ffff custom devices',
'connect_socket' => 'wb_slave',
'base' => 3087007744,
'name' => 'wb_slave',
'width' => 10,
'connect_socket_num' => '2'
}
},
'connection_num' => undef
},
'wb_master' => {
'connection_num' => undef,
'nums' => {
'1' => {
'connect_socket' => 'wb_master',
'connect_id' => 'wishbone_bus0',
'connect_socket_num' => '4',
'name' => 'wb_receive'
},
'0' => {
'name' => 'wb_send',
'connect_socket_num' => '2',
'connect_id' => 'wishbone_bus0',
'connect_socket' => 'wb_master'
}
},
'value' => 2,
'type' => 'num'
},
'clk' => {
'value' => 1,
'type' => 'num',
'connection_num' => undef,
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'name' => 'clk',
'connect_socket' => 'clk'
}
},
'type' => 'num'
}
}
},
'category' => 'NoC',
'parameters_order' => [
'CLASS_HDR_WIDTH',
'ROUTING_HDR_WIDTH',
'DST_ADR_HDR_WIDTH',
'SRC_ADR_HDR_WIDTH',
'TOPOLOGY',
'ROUTE_NAME',
'NX',
'NY',
'C',
'V',
'B',
'Fpay',
'MAX_TRANSACTION_WIDTH',
'MAX_BURST_SIZE',
'DEBUG_EN',
'Dw',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'Xw',
'Yw',
'Fw',
'CRC_EN'
],
'module_name' => 'ni_master',
'instance_name' => 'ni',
'parameters' => {
'AW' => {
'value' => '32'
},
'TAGw' => {
'value' => ' 3'
'value' => '3'
},
'SELw' => {
'value' => ' 4'
'value' => '4'
},
'VJTAG_INDEX' => {
'value' => 'CORE_ID'
},
'DW' => {
'S_Aw' => {
'value' => '8'
},
'ROUTING_HDR_WIDTH' => {
'value' => '8'
},
'M_Aw' => {
'value' => '32'
},
'Dw' => {
'value' => '32'
},
'S_Aw' => {
'value' => ' 7'
'SRC_ADR_HDR_WIDTH' => {
'value' => '8'
},
'Yw' => {
'value' => 'log2(NY)'
},
'CLASS_HDR_WIDTH' => {
'value' => '8'
},
'C' => {
'value' => ' 4'
},
'NX' => {
'value' => ' 4'
},
'Fpay' => {
'value' => ' 32'
},
'M_Aw' => {
'value' => ' 32'
}
'NY' => {
'value' => ' 4'
},
'ROUTE_NAME' => {
'value' => '"XY" '
},
'DEBUG_EN' => {
'value' => ' 1'
},
'B' => {
'value' => ' 4'
},
'P' => {
'value' => '5'
},
'MAX_TRANSACTION_WIDTH' => {
'value' => '13'
},
'V' => {
'value' => '4'
},
'ROUTE_TYPE' => {
'value' => ' '
},
'CRC_EN' => {
'value' => '"NO"'
},
'Fw' => {
'value' => '2+V+Fpay'
},
'DST_ADR_HDR_WIDTH' => {
'value' => '8'
},
'MAX_BURST_SIZE' => {
'value' => '16'
},
'Xw' => {
'value' => 'log2(NX)'
},
'TOPOLOGY' => {
'value' => '"MESH"'
}
},
'parameters_order' => [
'DW',
'AW',
'S_Aw',
'M_Aw',
'TAGw',
'SELw',
'VJTAG_INDEX'
],
'sockets' => {},
'module_name' => 'vjtag_wb',
'jtag_wb0' => {},
'category' => 'JTAG',
'module' => 'jtag_wb'
}
'description_pdf' => '/mpsoc/src_peripheral/ni/NI_master.pdf'
},
'single_port_ram0' => {
'description_pdf' => undef,
'parameters' => {
'MEM_CONTENT_FILE_NAME' => {
'value' => '"ram0"'
},
'CTIw' => {
'value' => '3'
},
'BYTE_WR_EN' => {
'value' => '"YES"'
},
'WB_Aw' => {
'value' => 'Aw+2'
},
'TAGw' => {
'value' => '3'
},
'SELw' => {
'value' => 'Dw/8'
},
'BTEw' => {
'value' => '2'
},
'JTAG_INDEX' => {
'value' => 'CORE_ID'
},
'FPGA_VENDOR' => {
'value' => '"GENERIC"'
},
'INIT_FILE_PATH' => {
'value' => 'SW_LOC'
},
'Aw' => {
'value' => '12'
},
'INITIAL_EN' => {
'value' => '"NO"'
},
'BURST_MODE' => {
'value' => '"ENABLED"'
},
'Dw' => {
'value' => '32'
},
'JTAG_CONNECT' => {
'value' => '"DISABLED"'
}
},
'instance_name' => 'ram',
'module_name' => 'wb_single_port_ram',
'parameters_order' => [
'Dw',
'Aw',
'BYTE_WR_EN',
'FPGA_VENDOR',
'JTAG_CONNECT',
'JTAG_INDEX',
'TAGw',
'SELw',
'CTIw',
'BTEw',
'WB_Aw',
'BURST_MODE',
'MEM_CONTENT_FILE_NAME',
'INITIAL_EN',
'INIT_FILE_PATH'
],
'category' => 'RAM',
'plugs' => {
'clk' => {
'type' => 'num',
'value' => 1,
'nums' => {
'0' => {
'name' => 'clk',
'connect_id' => 'clk_source0',
'connect_socket_num' => '0',
'connect_socket' => 'clk'
}
},
'connection_num' => undef
},
'wb_slave' => {
'connection_num' => undef,
'nums' => {
'0' => {
'end' => 16383,
'connect_id' => 'wishbone_bus0',
'connect_socket' => 'wb_slave',
'addr' => '0x0000_0000 0x3fff_ffff RAM',
'width' => 'WB_Aw',
'connect_socket_num' => '0',
'name' => 'wb',
'base' => 0
}
},
'value' => 1,
'type' => 'num'
},
'reset' => {
'connection_num' => undef,
'nums' => {
'0' => {
'name' => 'reset',
'connect_socket_num' => '0',
'connect_id' => 'clk_source0',
'connect_socket' => 'reset'
}
},
'value' => 1,
'type' => 'num'
}
},
'sockets' => {},
'module' => 'single_port_ram'
}
},
'soc_name' => 'lm32_tile',
'clk_source0' => {},
'lm320' => {},
'global_param' => {
'CORE_ID' => 0
},
'hdl_files' => undef,
'instance_order' => [
'lm320',
'clk_source0',
'wishbone_bus0',
'jtag_wb0',
'single_port_ram0',
'gpo0',
'ni_master0'
],
'ni0' => {},
'ni_master0' => {
'version' => 37
},
'top_ip' => bless( {
'instance_ids' => {
'lm320' => {
'module_name' => 'lm32',
'module' => 'lm32',
'ports' => {
'cpu_en_i' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:enable[0]',
'intfc_port' => 'enable_i'
}
},
'instance' => 'cpu',
'category' => 'Processor'
},
'gpo0' => {
'module' => 'gpo',
'module_name' => 'gpo',
'instance' => 'gpo',
'ports' => {
'gpo_port_o' => {
'range' => 'gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'type' => 'output',
'intfc_port' => 'IO'
}
},
'category' => 'GPIO',
'parameters' => {
'gpo_PORT_WIDTH' => {
'deafult' => ' 1',
'content' => '1,32,1',
'type' => 'Spin-button',
'global_param' => 'Parameter',
'info' => 'output port width',
'redefine_param' => 1
}
}
},
'wishbone_bus0' => {
'module' => 'wishbone_bus',
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'instance' => 'bus'
},
'single_port_ram0' => {
'module' => 'single_port_ram',
'module_name' => 'wb_single_port_ram',
'instance' => 'ram',
'parameters' => {
'ram_Aw' => {
'content' => '4,31,1',
'deafult' => '12',
'global_param' => 'Parameter',
'info' => 'Memory address width',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Dw' => {
'content' => '8,1024,1',
'deafult' => '32',
'info' => 'Memory data width in Bits.',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Spin-button'
}
},
'category' => 'RAM'
},
'ni_master0' => {
'parameters' => {
'ni_NY' => {
'type' => 'Fixed',
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'deafult' => ' 4',
'content' => ''
},
'ni_C' => {
'type' => 'Fixed',
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'deafult' => ' 4',
'content' => ''
},
'ni_DEBUG_EN' => {
'deafult' => ' 1',
'content' => '',
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter'
},
'ni_Fpay' => {
'content' => '',
'deafult' => ' 32',
'global_param' => 'Parameter',
'info' => 'Parameter',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'deafult' => ' 4',
'content' => ''
},
'ni_TOPOLOGY' => {
'info' => 'Parameter',
'redefine_param' => 1,
'global_param' => 'Parameter',
'type' => 'Fixed',
'content' => '',
'deafult' => '"MESH"'
},
'ni_V' => {
'deafult' => '4',
'content' => '',
'type' => 'Fixed',
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter'
},
'ni_ROUTE_NAME' => {
'content' => '',
'deafult' => '"XY" ',
'redefine_param' => 1,
'info' => 'Parameter',
'global_param' => 'Parameter',
'type' => 'Fixed'
},
'ni_B' => {
'type' => 'Fixed',
'global_param' => 'Parameter',
'redefine_param' => 1,
'info' => 'Parameter',
'deafult' => ' 4',
'content' => ''
}
},
'category' => 'NoC',
'ports' => {
'ni_current_x' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_x'
},
'ni_flit_in' => {
'type' => 'input',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input',
'intfc_port' => 'current_y'
},
'ni_flit_in_wr' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'socket:ni[0]',
'intfc_port' => 'flit_in_wr'
},
'ni_flit_out' => {
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'range' => ''
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'type' => 'input',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]'
}
},
'instance' => 'ni',
'module' => 'ni_master',
'module_name' => 'ni_master'
},
'jtag_wb0' => {
'module_name' => 'vjtag_wb',
'module' => 'jtag_wb',
'category' => 'JTAG',
'instance' => 'jtag_wb'
},
'clk_source0' => {
'instance' => 'ss',
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:clk[0]'
},
'ss_reset_in' => {
'type' => 'input',
'range' => '',
'intfc_name' => 'plug:reset[0]',
'intfc_port' => 'reset_i'
}
},
'category' => 'Source',
'module' => 'clk_source',
'module_name' => 'clk_source'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
'type' => 'input',
'intfc_port' => 'clk_i'
},
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'instance_name' => 'lm320',
'range' => '',
'intfc_port' => 'enable_i'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni_master0'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'type' => 'input'
},
'ni_current_y' => {
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'current_y'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'instance_name' => 'ni_master0'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'type' => 'output',
'range' => 'ni_Fw-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0',
'intfc_port' => 'flit_out'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'type' => 'output',
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
'instance_name' => 'ni_master0'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'ni_flit_out_wr' => {
'type' => 'output',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
'range' => '',
'instance_name' => 'ni_master0',
'intfc_port' => 'flit_out_wr'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'range' => 'ni_V-1 : 0',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni_master0',
'type' => 'input',
'intfc_port' => 'credit_in'
},
'gpo_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'intfc_port' => 'IO',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'intfc_name' => 'IO',
'instance_name' => 'gpo0',
'type' => 'output'
},
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
'type' => 'input',
'intfc_port' => 'reset_i'
}
},
'interface' => {
'plug:enable[0]' => {
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'type' => 'input',
'instance_name' => 'lm320',
'range' => '',
'type' => 'input'
'intfc_port' => 'enable_i'
}
}
},
'socket:ni[0]' => {
'ports' => {
'ni_flit_out' => {
'range' => 'ni_Fw-1 : 0',
'instance_name' => 'ni_master0',
'type' => 'output',
'intfc_port' => 'flit_out'
},
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'instance_name' => 'ni0',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
'intfc_port' => 'flit_out_wr',
'type' => 'output',
'range' => '',
'instance_name' => 'ni_master0'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'instance_name' => 'ni0',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
'ni_credit_out' => {
'type' => 'output',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0',
'intfc_port' => 'credit_out'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'instance_name' => 'ni0',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'instance_name' => 'ni0',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'instance_name' => 'ni0',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'intfc_port' => 'credit_in',
'type' => 'input',
'range' => 'ni_V-1 : 0',
'instance_name' => 'ni_master0'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'instance_name' => 'ni0',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => 'ni_Fw-1 : 0',
'intfc_port' => 'flit_in'
},
'ni_current_x' => {
'type' => 'input',
'range' => 'ni_Xw-1 : 0',
'instance_name' => 'ni_master0',
'intfc_port' => 'current_x'
},
'ni_current_y' => {
'type' => 'input',
'range' => 'ni_Yw-1 : 0',
'instance_name' => 'ni_master0',
'intfc_port' => 'current_y'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'type' => 'input',
'instance_name' => 'ni_master0',
'range' => ''
}
}
},
'IO' => {
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'type' => 'input',
'range' => '',
'instance_name' => 'clk_source0'
}
}
},
'plug:clk[0]' => {
'ports' => {
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'type' => 'input',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
'range' => ''
}
}
},
'plug:reset[0]' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'instance_name' => 'clk_source0',
'range' => '',
'type' => 'input'
}
}
}
},
'instance_ids' => {
'single_port_ram0' => {
'parameters' => {
'ram_Dw' => {
'info' => 'Memory data width in Bits.',
'deafult' => '32',
'global_param' => 'Parameter',
'content' => '8,1024,1',
'redefine_param' => 1,
'type' => 'Spin-button'
},
'ram_Aw' => {
'info' => 'Memory address width',
'deafult' => '12',
'global_param' => 'Parameter',
'content' => '4,31,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'module_name' => 'wb_single_port_ram',
'category' => 'RAM',
'instance' => 'ram',
'module' => 'single_port_ram'
},
'lm320' => {
'ports' => {
'cpu_en_i' => {
'intfc_port' => 'enable_i',
'intfc_name' => 'plug:enable[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'lm32',
'category' => 'Processor',
'instance' => 'cpu',
'module' => 'lm32'
},
'clk_source0' => {
'ports' => {
'ss_reset_in' => {
'intfc_port' => 'reset_i',
'intfc_name' => 'plug:reset[0]',
'range' => '',
'type' => 'input'
},
'ss_clk_in' => {
'intfc_port' => 'clk_i',
'intfc_name' => 'plug:clk[0]',
'range' => '',
'type' => 'input'
}
},
'module_name' => 'clk_source',
'category' => 'Source',
'instance' => 'ss',
'module' => 'clk_source'
},
'gpo0' => {
'parameters' => {
'gpo_PORT_WIDTH' => {
'info' => 'output port width',
'deafult' => ' 1',
'global_param' => 'Parameter',
'content' => '1,32,1',
'redefine_param' => 1,
'type' => 'Spin-button'
}
},
'ports' => {
'gpo_port_o' => {
'intfc_port' => 'IO',
'intfc_name' => 'IO',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output'
}
},
'module_name' => 'gpo',
'category' => 'GPIO',
'instance' => 'gpo',
'module' => 'gpo'
},
'wishbone_bus0' => {
'module_name' => 'wishbone_bus',
'category' => 'Bus',
'instance' => 'bus',
'module' => 'wishbone_bus'
},
'ni0' => {
'parameters' => {
'ni_TOPOLOGY' => {
'info' => undef,
'deafult' => '"MESH"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_Fpay' => {
'info' => undef,
'deafult' => ' 32',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NX' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_NY' => {
'info' => undef,
'deafult' => ' 2',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_B' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_V' => {
'info' => '',
'deafult' => ' 4',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_DEBUG_EN' => {
'info' => undef,
'deafult' => '0',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
},
'ni_ROUTE_NAME' => {
'info' => undef,
'deafult' => '"XY"',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Fixed'
}
},
'ports' => {
'ni_flit_out_wr' => {
'intfc_port' => 'flit_out_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'output'
},
'ni_current_x' => {
'intfc_port' => 'current_x',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Xw-1 : 0',
'type' => 'input'
},
'ni_current_y' => {
'intfc_port' => 'current_y',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Yw-1 : 0',
'type' => 'input'
},
'ni_flit_out' => {
'intfc_port' => 'flit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'output'
},
'ni_credit_out' => {
'intfc_port' => 'credit_out',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1: 0',
'type' => 'output'
},
'ni_flit_in_wr' => {
'intfc_port' => 'flit_in_wr',
'intfc_name' => 'socket:ni[0]',
'range' => '',
'type' => 'input'
},
'ni_credit_in' => {
'intfc_port' => 'credit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_V-1 : 0',
'type' => 'input'
},
'ni_flit_in' => {
'intfc_port' => 'flit_in',
'intfc_name' => 'socket:ni[0]',
'range' => 'ni_Fw-1 : 0',
'type' => 'input'
}
},
'module_name' => 'ni',
'category' => 'NoC',
'instance' => 'ni',
'module' => 'ni'
},
'jtag_wb0' => {
'parameters' => {
'jtag_wb0_VJTAG_INDEX' => {
'info' => 'JTAG control host identifies each instance of this IP core by a unique index number. The default value is the tile ID number. You assign an index value between 0 to 255.',
'deafult' => 'CORE_ID',
'global_param' => 'Parameter',
'content' => '',
'redefine_param' => 1,
'type' => 'Entry'
}
},
'module_name' => 'vjtag_wb',
'category' => 'JTAG',
'instance' => 'jtag_wb0',
'module' => 'jtag_wb'
}
}
}, 'ip_gen' ),
'instance_order' => [
'lm320',
'single_port_ram0',
'gpo0',
'clk_source0',
'wishbone_bus0',
'ni0',
'jtag_wb0'
],
'modules' => {},
'gui_status' => {
'status' => 'ideal',
'timeout' => 0
},
'global_param' => {
'CORE_ID' => 3
}
'IO' => {
'ports' => {
'gpo_port_o' => {
'instance_name' => 'gpo0',
'range' => 'gpo_PORT_WIDTH-1 : 0',
'type' => 'output',
'intfc_port' => 'IO'
}
}
}
}
}, 'ip_gen' )
}, 'soc' );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.