OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/src_c/bin2str
    from Rev 38 to Rev 48
    Reverse comparison

Rev 38 → Rev 48

/bin2str Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
bin2str Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ram0.hex =================================================================== --- ram0.hex (nonexistent) +++ ram0.hex (revision 48) @@ -0,0 +1,210 @@ +B808005000000000 +B80802BC00000000 +B80802CC00000000 +0000000000000000 +B80802C400000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +31A0068830400578 +30200E98B9F400C0 +80000000B9F40270 +30A30000B8000000 +E06006883021FFE4 +F9E10000BC030014 +B8000040F8600580 +99FC200080000000 +E8600580E8830000 +BE24FFEC30630004 +B000000030600000 +BC03001030A00680 +99FC180080000000 +30600001F0600688 +E9E10000B60F0008 +3021001CB0000000 +306000003021FFE4 +F9E1000030A00680 +30C0068CBC03000C +99FC180080000000 +E8600684B0000000 +30800000BC030014 +30A00684BC04000C +99FC200080000000 +E9E10000B60F0008 +3021001C2021FFEC +F9E1000020C00688 +20E0068806463800 +BC720014F8060000 +20C6000406463800 +BC92FFF420C00688 +20E006A806463800 +BC720014F8060000 +20C6000406463800 +BC92FFF4B9F400E4 +80000000B9F403B0 +8000000020C00000 +20E00000B9F4005C +20A0000032630000 +B9F403B880000000 +B9F4005C80000000 +C9E1000030730000 +B60F000820210014 +90A50060B000A500 +F8A00000B60F0008 +80000000BE050014 +30A5FFFF80000000 +BE25FFFC30A5FFFF +B60F000880000000 +3021FFE4F9E10000 +B9F4FFC830A00041 +B800FFF894700010 +A4630010BC23FFF8 +94608001B0002000 +A4630000BC230018 +1080080030600400 +9063000110841800 +1020200094710010 +B60F000880000000 +94710010B60F0008 +8000000094700010 +A4630010BC23FFF8 +B60F000880000000 +94700010A4630010 +BC23FFF894608001 +B0002000A4630000 +BC23005010800800 +3060040090630001 +14C3200010203000 +10A4000030E00EA8 +16472003BCB20020 +1504000010862800 +E865000030A50004 +16472803BE52FFF0 +D864400094710010 +80000000B800FFFC +94710010B60F0008 +80000000B6110000 +80000000B6910000 +80000000B62E0000 +800000003021FFE0 +10C00000FA61001C +F9E10000B9F40024 +12650000E8A0056C +E8650028BC03000C +99FC180080000000 +B9F4FD6C10B30000 +E860056C3021FFC8 +FB410030FB610034 +F9E10000FA61001C +FAC10020FAE10024 +FB010028FB21002C +EB03004813650000 +BE18005013460000 +E8780004EB380088 +3263FFFFBC53003C +6493040230640008 +12D81800BE060074 +12F92000BC1900C0 +E87700801643D000 +BC1200EC3273FFFF +32F7FFFCAA53FFFF +BE32FFE832D6FFFC +E9E10000EA61001C +EAC10020EAE10024 +EB010028EB21002C +EB410030EB610034 +B60F000830210038 +E8B7000099FC3800 +800000003273FFFF +32F7FFFCAA53FFFF +BE12FFC032D6FFFC +E8780004E8F60000 +3063FFFF16439800 +BC120074F8160000 +BC07FFD4BE190058 +30800001E8790100 +44849C0084641800 +BC030044E8790104 +84641800BC23FFA4 +E8D7000099FC3800 +10BB0000B810FFA4 +3273FFFF3273FFFF +AA53FFFFBE12FF5C +3273FFFFAA53FFFF +BE32FFF03273FFFF +B800FF4899FC3800 +3273FFFFB810FF78 +32F7FFFCFA780004 +B800FF90E8780004 +E8F600003063FFFF +16439800BC120054 +F8160000BC07FF00 +BC19003830800001 +E879010044849C00 +84641800BC030024 +E879010484641800 +BC230030E8D70000 +99FC380010BB0000 +B810FED03273FFFF +99FC38003273FFFF +B810FEC432F7FFFC +FA780004B800FFB0 +E8B7000099FC3800 +3273FFFFB810FEA8 +32F7FFFCE860055C +3021FFE0FA61001C +F9E100003260055C +AA43FFFFBC120018 +99FC18003273FFFC +E8730000AA43FFFF +BC32FFF0E9E10000 +EA61001CB60F0008 +302100203021FFF8 +D9E00800B9F4FBA8 +80000000B9F4FFB0 +80000000C9E00800 +B60F000830210008 +3021FFF8D9E00800 +B9F4FB2880000000 +C9E00800B60F0008 +30210008FFFFFFFF +00000000FFFFFFFF +000000000000058C +4300000000000000 +0000000000000000 +0000056800000001 +0000058C00000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000570 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +0000000000000000 +FF00000000000000 Index: ram0.memb =================================================================== --- ram0.memb (nonexistent) +++ ram0.memb (revision 48) @@ -0,0 +1,210 @@ +1011100000001000000000000101000000000000000000000000000000000000 +1011100000001000000000101011110000000000000000000000000000000000 +1011100000001000000000101100110000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +1011100000001000000000101100010000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0011000110100000000001101000100000110000010000000000010101111000 +0011000000100000000011101001100010111001111101000000000011000000 +1000000000000000000000000000000010111001111101000000001001110000 +0011000010100011000000000000000010111000000000000000000000000000 +1110000001100000000001101000100000110000001000011111111111100100 +1111100111100001000000000000000010111100000000110000000000010100 +1011100000000000000000000100000011111000011000000000010110000000 +1001100111111100001000000000000010000000000000000000000000000000 +1110100001100000000001011000000011101000100000110000000000000000 +1011111000100100111111111110110000110000011000110000000000000100 +1011000000000000000000000000000000110000011000000000000000000000 +1011110000000011000000000001000000110000101000000000011010000000 +1001100111111100000110000000000010000000000000000000000000000000 +0011000001100000000000000000000111110000011000000000011010001000 +1110100111100001000000000000000010110110000011110000000000001000 +0011000000100001000000000001110010110000000000000000000000000000 +0011000001100000000000000000000000110000001000011111111111100100 +1111100111100001000000000000000000110000101000000000011010000000 +0011000011000000000001101000110010111100000000110000000000001100 +1001100111111100000110000000000010000000000000000000000000000000 +1110100001100000000001101000010010110000000000000000000000000000 +0011000010000000000000000000000010111100000000110000000000010100 +0011000010100000000001101000010010111100000001000000000000001100 +1001100111111100001000000000000010000000000000000000000000000000 +1110100111100001000000000000000010110110000011110000000000001000 +0011000000100001000000000001110000100000001000011111111111101100 +1111100111100001000000000000000000100000110000000000011010001000 +0010000011100000000001101000100000000110010001100011100000000000 +1011110001110010000000000001010011111000000001100000000000000000 +0010000011000110000000000000010000000110010001100011100000000000 +1011110010010010111111111111010000100000110000000000011010001000 +0010000011100000000001101010100000000110010001100011100000000000 +1011110001110010000000000001010011111000000001100000000000000000 +0010000011000110000000000000010000000110010001100011100000000000 +1011110010010010111111111111010010111001111101000000000011100100 +1000000000000000000000000000000010111001111101000000001110110000 +1000000000000000000000000000000000100000110000000000000000000000 +0010000011100000000000000000000010111001111101000000000001011100 +0010000010100000000000000000000000110010011000110000000000000000 +1011100111110100000000111011100010000000000000000000000000000000 +1011100111110100000000000101110010000000000000000000000000000000 +1100100111100001000000000000000000110000011100110000000000000000 +1011011000001111000000000000100000100000001000010000000000010100 +1001000010100101000000000110000010110000000000001010010100000000 +1111100010100000000000000000000010110110000011110000000000001000 +1000000000000000000000000000000010111110000001010000000000010100 +0011000010100101111111111111111110000000000000000000000000000000 +1011111000100101111111111111110000110000101001011111111111111111 +1011011000001111000000000000100010000000000000000000000000000000 +0011000000100001111111111110010011111001111000010000000000000000 +1011100111110100111111111100100000110000101000000000000001000001 +1011100000000000111111111111100010010100011100000000000000010000 +1010010001100011000000000001000010111100001000111111111111111000 +1001010001100000100000000000000110110000000000000010000000000000 +1010010001100011000000000000000010111100001000110000000000011000 +0001000010000000000010000000000000110000011000000000010000000000 +1001000001100011000000000000000100010000100001000001100000000000 +0001000000100000001000000000000010010100011100010000000000010000 +1011011000001111000000000000100010000000000000000000000000000000 +1001010001110001000000000001000010110110000011110000000000001000 +1000000000000000000000000000000010010100011100000000000000010000 +1010010001100011000000000001000010111100001000111111111111111000 +1011011000001111000000000000100010000000000000000000000000000000 +1001010001110000000000000001000010100100011000110000000000010000 +1011110000100011111111111111100010010100011000001000000000000001 +1011000000000000001000000000000010100100011000110000000000000000 +1011110000100011000000000101000000010000100000000000100000000000 +0011000001100000000001000000000010010000011000110000000000000001 +0001010011000011001000000000000000010000001000000011000000000000 +0001000010100100000000000000000000110000111000000000111010101000 +0001011001000111001000000000001110111100101100100000000000100000 +0001010100000100000000000000000000010000100001100010100000000000 +1110100001100101000000000000000000110000101001010000000000000100 +0001011001000111001010000000001110111110010100101111111111110000 +1101100001100100010000000000000010010100011100010000000000010000 +1000000000000000000000000000000010111000000000001111111111111100 +1001010001110001000000000001000010110110000011110000000000001000 +1000000000000000000000000000000010110110000100010000000000000000 +1000000000000000000000000000000010110110100100010000000000000000 +1000000000000000000000000000000010110110001011100000000000000000 +1000000000000000000000000000000000110000001000011111111111100000 +0001000011000000000000000000000011111010011000010000000000011100 +1111100111100001000000000000000010111001111101000000000000100100 +0001001001100101000000000000000011101000101000000000010101101100 +1110100001100101000000000010100010111100000000110000000000001100 +1001100111111100000110000000000010000000000000000000000000000000 +1011100111110100111111010110110000010000101100110000000000000000 +1110100001100000000001010110110000110000001000011111111111001000 +1111101101000001000000000011000011111011011000010000000000110100 +1111100111100001000000000000000011111010011000010000000000011100 +1111101011000001000000000010000011111010111000010000000000100100 +1111101100000001000000000010100011111011001000010000000000101100 +1110101100000011000000000100100000010011011001010000000000000000 +1011111000011000000000000101000000010011010001100000000000000000 +1110100001111000000000000000010011101011001110000000000010001000 +0011001001100011111111111111111110111100010100110000000000111100 +0110010010010011000001000000001000110000011001000000000000001000 +0001001011011000000110000000000010111110000001100000000001110100 +0001001011111001001000000000000010111100000110010000000011000000 +1110100001110111000000001000000000010110010000111101000000000000 +1011110000010010000000001110110000110010011100111111111111111111 +0011001011110111111111111111110010101010010100111111111111111111 +1011111000110010111111111110100000110010110101101111111111111100 +1110100111100001000000000000000011101010011000010000000000011100 +1110101011000001000000000010000011101010111000010000000000100100 +1110101100000001000000000010100011101011001000010000000000101100 +1110101101000001000000000011000011101011011000010000000000110100 +1011011000001111000000000000100000110000001000010000000000111000 +1110100010110111000000000000000010011001111111000011100000000000 +1000000000000000000000000000000000110010011100111111111111111111 +0011001011110111111111111111110010101010010100111111111111111111 +1011111000010010111111111100000000110010110101101111111111111100 +1110100001111000000000000000010011101000111101100000000000000000 +0011000001100011111111111111111100010110010000111001100000000000 +1011110000010010000000000111010011111000000101100000000000000000 +1011110000000111111111111101010010111110000110010000000001011000 +0011000010000000000000000000000111101000011110010000000100000000 +0100010010000100100111000000000010000100011001000001100000000000 +1011110000000011000000000100010011101000011110010000000100000100 +1000010001100100000110000000000010111100001000111111111110100100 +1110100011010111000000000000000010011001111111000011100000000000 +0001000010111011000000000000000010111000000100001111111110100100 +0011001001110011111111111111111100110010011100111111111111111111 +1010101001010011111111111111111110111110000100101111111101011100 +0011001001110011111111111111111110101010010100111111111111111111 +1011111000110010111111111111000000110010011100111111111111111111 +1011100000000000111111110100100010011001111111000011100000000000 +0011001001110011111111111111111110111000000100001111111101111000 +0011001011110111111111111111110011111010011110000000000000000100 +1011100000000000111111111001000011101000011110000000000000000100 +1110100011110110000000000000000000110000011000111111111111111111 +0001011001000011100110000000000010111100000100100000000001010100 +1111100000010110000000000000000010111100000001111111111100000000 +1011110000011001000000000011100000110000100000000000000000000001 +1110100001111001000000010000000001000100100001001001110000000000 +1000010001100100000110000000000010111100000000110000000000100100 +1110100001111001000000010000010010000100011001000001100000000000 +1011110000100011000000000011000011101000110101110000000000000000 +1001100111111100001110000000000000010000101110110000000000000000 +1011100000010000111111101101000000110010011100111111111111111111 +1001100111111100001110000000000000110010011100111111111111111111 +1011100000010000111111101100010000110010111101111111111111111100 +1111101001111000000000000000010010111000000000001111111110110000 +1110100010110111000000000000000010011001111111000011100000000000 +0011001001110011111111111111111110111000000100001111111010101000 +0011001011110111111111111111110011101000011000000000010101011100 +0011000000100001111111111110000011111010011000010000000000011100 +1111100111100001000000000000000000110010011000000000010101011100 +1010101001000011111111111111111110111100000100100000000000011000 +1001100111111100000110000000000000110010011100111111111111111100 +1110100001110011000000000000000010101010010000111111111111111111 +1011110000110010111111111111000011101001111000010000000000000000 +1110101001100001000000000001110010110110000011110000000000001000 +0011000000100001000000000010000000110000001000011111111111111000 +1101100111100000000010000000000010111001111101001111101110101000 +1000000000000000000000000000000010111001111101001111111110110000 +1000000000000000000000000000000011001001111000000000100000000000 +1011011000001111000000000000100000110000001000010000000000001000 +0011000000100001111111111111100011011001111000000000100000000000 +1011100111110100111110110010100010000000000000000000000000000000 +1100100111100000000010000000000010110110000011110000000000001000 +0011000000100001000000000000100011111111111111111111111111111111 +0000000000000000000000000000000011111111111111111111111111111111 +0000000000000000000000000000000000000000000000000000010110001100 +0100001100000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000001010110100000000000000000000000000000000001 +0000000000000000000001011000110000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000010101110000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +1111111100000000000000000000000000000000000000000000000000000000

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.