OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk/mpsoc/src_processor/mor1kx-3.1/sw/mor1kx
    from Rev 38 to Rev 48
    Reverse comparison

Rev 38 → Rev 48

/crt0.S
217,7 → 217,8
CLEAR_GPR(r4)
/* nop to reset cycle counter */
l.nop NOP_CNT_RESET
OR1K_DELAYED_NOP(OR1K_INST(l.jal main))
/*initial_global_data then call main*/
OR1K_DELAYED_NOP(OR1K_INST(l.jal __main))
 
/* If program exits, call exit routine */
/* Save r11 */
/int.c
88,4 → 88,17
// Clear all pending interrupts in the PICSR
mtspr(SPR_PICSR, 0);
}
 
 
 
 
 
 
 
 
 
 
 
 
 
/mor1kx-utils.c
1,6 → 1,9
#include "spr-defs.h"
#include "board.h" // For timer rate (IN_CLK, TICKS_PER_SEC)
#include <or1k-support-defs.h>
 
extern int main();
 
/* For writing into SPR. */
void
mtspr(unsigned long spr, unsigned long value)
112,3 → 115,16
 
}
extern char _erodata, _sdata, _edata, _bstart, _bend;
 
void __main (void){ //initial_global_data
char *src = &_erodata; //start of Data section in Rom
char *dst = &_sdata;
 
/* ROM has data at end of rodata; copy it. */
while (dst < &_edata) {
*dst++ = *src++;
}
main(); //call the main function
}
/system.h
75,4 → 75,29
/* Wait for 10ms, assumes CLK_HZ is 100, which it usually is.
Will be slightly inaccurate!*/
void cpu_sleep_10ms(void);
 
 
void __main (void); //initial_global_data
 
/******************
* General inttrupt functions for all CPUs added to ProNoC
*******************/
 
#define general_int_init int_init
 
//#define general_int_add int_add
inline int general_int_add(unsigned long vect, void (* handler), void *arg){
return int_add(vect, handler,arg);
}
 
#define general_int_enable int_enable
 
#define general_cpu_int_en cpu_enable_user_interrupts
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.