OpenCores
URL https://opencores.org/ocsvn/apb_mstr/apb_mstr/trunk

Subversion Repositories apb_mstr

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /apb_mstr/trunk
    from Rev 10 to Rev 11
    Reverse comparison

Rev 10 → Rev 11

/src/base/def_apb_master_static.txt
27,14 → 27,14
//// ////
//////////////////////////////////////////////////////////////////##>
 
ENDUSER ##don't use AXI master USER params in gui
ENDUSER
INCLUDE def_axi_master.txt
STARTUSER
 
VERIFY (DATA_BITS in 32..32) ##only 32 bit data supported
SWAP.GLOBAL MODEL_NAME APB master stub
SWAP.GLOBAL DATA_BITS 32 ##AXI and APB data bits
SWAP.GLOBAL ID_NUM 1 ##Number of IDs (internal masters)
SWAP.GLOBAL ID0_VAL ID_BITS'b0000 ##AXI ID0
 
/src/base/apb_master.v
69,8 → 69,6
 
INCLUDE def_apb_master.txt
 
VERIFY (DATA_BITS==32) ##only 32 bit data supported
module PREFIX(PORTS);
 
/src/base/def_axi2apb.txt
31,6 → 31,8
 
INCLUDE def_axi2apb_static.txt
 
STARTUSER
SWAP.GLOBAL #FFD #1 ## flip-flop delay
 
SWAP.USER PREFIX axi2apb ## Prefix for all modules and file names
/src/base/def_axi_master.txt
31,6 → 31,8
 
INCLUDE def_axi_master_static.txt
 
STARTUSER
SWAP.GLOBAL #FFD #1 ##Flip-Flop simulation delay
 
SWAP.USER PREFIX axi_master ##prefix for all module and file names
/src/base/def_axi_master_static.txt
29,8 → 29,8
 
SWAP.GLOBAL MODEL_NAME AXI master stub
 
VERIFY (DATA_BITS <= 64) ##stub supports 32 or 64 bits data bus
VERIFY (SIZE_BITS <= 3) ##stub supports 32 or 64 bits data bus
VERIFY (DATA_BITS in 32, 64) ##stub supports 32 or 64 bits data bus
VERIFY (SIZE_BITS in 2, 3) ##stub supports 32 or 64 bits data bus
 
GROUP STUB_AXI_A is {
ID ID_BITS output
/src/base/axi_master.v
182,7 → 182,7
DEFCMD(SWAP.GLOBAL CONST(PREFIX) PREFIX) \\
DEFCMD(SWAP.GLOBAL MASTER_NUM ID_NUM) \\
DEFCMD(SWAP.GLOBAL SLAVE_NUM 1) \\
DEFCMD(SWAP.GLOBAL CONST(ID_BITS) ID_BITS) \\
DEFCMD(SWAP.GLOBAL CONST(MSTR_ID_BITS) ID_BITS) \\
DEFCMD(SWAP.GLOBAL CONST(CMD_DEPTH) CMD_DEPTH) \\
DEFCMD(SWAP.GLOBAL CONST(DATA_BITS) DATA_BITS) \\
DEFCMD(SWAP.GLOBAL CONST(ADDR_BITS) ADDR_BITS) \\
/src/base/def_apb_master.txt
29,13 → 29,16
 
REQUIRE(1.4)
 
INCLUDE def_apb_master_static.txt
 
INCLUDE def_apb_master_static.txt
STARTUSER
SWAP.GLOBAL #FFD #1 ##Flip-flop delay
SWAP.USER PREFIX apb_master ##Prefix for all module and file names
SWAP.GLOBAL.USER ADDR_BITS 16 ##APB address bits
SWAP.GLOBAL.USER DATA_BITS 32 ##AXI and APB data bits
 
DEFINE.USER APB3 ##if set use pready and pslverr APB3 signals

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.