OpenCores
URL https://opencores.org/ocsvn/astron_wb_fft/astron_wb_fft/trunk

Subversion Repositories astron_wb_fft

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /astron_wb_fft
    from Rev 4 to Rev 5
    Reverse comparison

Rev 4 → Rev 5

/trunk/fft_r2_bf_par.vhd
27,12 → 27,12
-- Remarks:
--
 
library ieee, common_pkg_lib, common_components_lib, common_requantize_lib, rTwoSDF_lib;
library ieee, common_pkg_lib, common_components_lib, astron_requantize_lib, astron_r2sdf_fft_lib;
use IEEE.std_logic_1164.all;
use common_pkg_lib.common_pkg.all;
use common_pkg_lib.common_str_pkg.all;
use rTwoSDF_lib.twiddlesPkg.all;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_r2sdf_fft_lib.twiddlesPkg.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
 
entity fft_r2_bf_par is
41,7 → 41,7
g_element : natural := 1;
g_scale_enable : boolean := TRUE;
-- internal pipeline settings
g_pipeline : t_fft_pipeline := c_fft_pipeline -- defined in rTwoSDF_lib.rTwoSDFPkg
g_pipeline : t_fft_pipeline := c_fft_pipeline -- defined in astron_r2sdf_fft_lib.rTwoSDFPkg
);
port (
clk : in std_logic;
102,7 → 102,7
------------------------------------------------------------------------------
-- complex butterfly
------------------------------------------------------------------------------
u_bf_re : entity rTwoSDF_lib.rTwoBF
u_bf_re : entity astron_r2sdf_fft_lib.rTwoBF
generic map (
g_in_a_zdly => c_bf_in_a_zdly,
g_out_d_zdly => c_bf_out_b_zdly
117,7 → 117,7
out_d => dif_re
);
u_bf_im : entity rTwoSDF_lib.rTwoBF
u_bf_im : entity astron_r2sdf_fft_lib.rTwoBF
generic map (
g_in_a_zdly => c_bf_in_a_zdly,
g_out_d_zdly => c_bf_out_b_zdly
135,7 → 135,7
------------------------------------------------------------------------------
-- requantize x output
------------------------------------------------------------------------------
u_requantize_x_re : entity common_requantize_lib.common_requantize
u_requantize_x_re : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_stage_bit_growth,
156,7 → 156,7
out_ovr => open
);
 
u_requantize_x_im : entity common_requantize_lib.common_requantize
u_requantize_x_im : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_stage_bit_growth,
241,7 → 241,7
------------------------------------------------------------------------------
-- twiddle multiplication
------------------------------------------------------------------------------
u_TwiddleMult: entity rTwoSDF_lib.rTwoWMul
u_TwiddleMult: entity astron_r2sdf_fft_lib.rTwoWMul
generic map (
g_stage => g_stage,
g_lat => g_pipeline.mul_lat
268,7 → 268,7
------------------------------------------------------------------------------
-- requantize y output
------------------------------------------------------------------------------
u_requantize_y_re : entity common_requantize_lib.common_requantize
u_requantize_y_re : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_stage_bit_growth,
289,7 → 289,7
out_ovr => open
);
 
u_requantize_y_im : entity common_requantize_lib.common_requantize
u_requantize_y_im : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_stage_bit_growth,
/trunk/fft_r2_par.vhd
34,16 → 34,16
-- Therefore it causes 1 bit growth that needs to be rounded, as
-- explained in fft_sepa.vhd
 
library ieee, common_pkg_lib, common_components_lib, common_add_sub_lib, common_requantize_lib, rTwoSDF_lib;
library ieee, common_pkg_lib, common_components_lib, astron_adder_lib, astron_requantize_lib, astron_r2sdf_fft_lib;
use IEEE.std_logic_1164.all;
use common_pkg_lib.common_pkg.all;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
 
entity fft_r2_par is
generic (
g_fft : t_fft := c_fft; -- generics for the FFT
g_pipeline : t_fft_pipeline := c_fft_pipeline -- generics for pipelining, defined in rTwoSDF_lib.rTwoSDFPkg
g_pipeline : t_fft_pipeline := c_fft_pipeline -- generics for pipelining, defined in astron_r2sdf_fft_lib.rTwoSDFPkg
);
port (
clk : in std_logic;
225,7 → 225,7
---------------------------------------------------------------------------
gen_positive_bins : for I in 1 to g_fft.nof_points/2 - 1 generate
-- common_add_sub
a_output_real_adder : entity common_add_sub_lib.common_add_sub
a_output_real_adder : entity astron_adder_lib.common_add_sub
generic map (
g_direction => "ADD",
g_representation => "SIGNED",
241,7 → 241,7
result => add_arr(2*I)
);
b_output_real_adder : entity common_add_sub_lib.common_add_sub
b_output_real_adder : entity astron_adder_lib.common_add_sub
generic map (
g_direction => "ADD",
g_representation => "SIGNED",
257,7 → 257,7
result => add_arr(2*I+1)
);
a_output_imag_subtractor : entity common_add_sub_lib.common_add_sub
a_output_imag_subtractor : entity astron_adder_lib.common_add_sub
generic map (
g_direction => "SUB",
g_representation => "SIGNED",
273,7 → 273,7
result => sub_arr(2*I)
);
b_output_imag_subtractor : entity common_add_sub_lib.common_add_sub
b_output_imag_subtractor : entity astron_adder_lib.common_add_sub
generic map (
g_direction => "SUB",
g_representation => "SIGNED",
299,7 → 299,7
gen_sepa_round : IF c_sepa_round=true GENERATE
-- round the one LSbit
round_re_a : ENTITY common_requantize_lib.common_round
round_re_a : ENTITY astron_requantize_lib.common_round
GENERIC MAP (
g_representation => "SIGNED", -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
g_round => TRUE, -- when TRUE round the input, else truncate the input
315,7 → 315,7
out_dat => fft_re_arr(2*I)
);
round_re_b : ENTITY common_requantize_lib.common_round
round_re_b : ENTITY astron_requantize_lib.common_round
GENERIC MAP (
g_representation => "SIGNED", -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
g_round => TRUE, -- when TRUE round the input, else truncate the input
331,7 → 331,7
out_dat => fft_re_arr(2*I+1)
);
round_im_a : ENTITY common_requantize_lib.common_round
round_im_a : ENTITY astron_requantize_lib.common_round
GENERIC MAP (
g_representation => "SIGNED", -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
g_round => TRUE, -- when TRUE round the input, else truncate the input
347,7 → 347,7
out_dat => fft_im_arr(2*I)
);
round_im_b : ENTITY common_requantize_lib.common_round
round_im_b : ENTITY astron_requantize_lib.common_round
GENERIC MAP (
g_representation => "SIGNED", -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
g_round => TRUE, -- when TRUE round the input, else truncate the input
428,7 → 428,7
-- Parallel FFT output requantization
------------------------------------------------------------------------------
gen_output_requantizers : for I in 0 to g_fft.nof_points-1 generate
u_requantize_re : entity common_requantize_lib.common_requantize
u_requantize_re : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_out_scale_w,
448,7 → 448,7
out_ovr => open
);
u_requantize_im : entity common_requantize_lib.common_requantize
u_requantize_im : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_out_scale_w,
/trunk/fft_r2_pipe.vhd
48,16 → 48,16
--
--
 
library ieee, common_pkg_lib, common_components_lib, common_requantize_lib, rTwoSDF_lib;
library ieee, common_pkg_lib, common_components_lib, astron_requantize_lib, astron_r2sdf_fft_lib;
use IEEE.std_logic_1164.all;
use common_pkg_lib.common_pkg.all;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
 
entity fft_r2_pipe is
generic (
g_fft : t_fft := c_fft; -- generics for the FFT
g_pipeline : t_fft_pipeline := c_fft_pipeline; -- generics for pipelining in each stage, defined in rTwoSDF_lib.rTwoSDFPkg
g_pipeline : t_fft_pipeline := c_fft_pipeline; -- generics for pipelining in each stage, defined in astron_r2sdf_fft_lib.rTwoSDFPkg
g_dont_flip_channels : boolean := false -- generic to prevent re-ordering of the channels
);
port (
107,7 → 107,7
-- pipelined FFT stages
------------------------------------------------------------------------------
gen_fft: for stage in c_nof_stages downto 1 generate
u_stage : entity rTwoSDF_lib.rTwoSDFStage
u_stage : entity astron_r2sdf_fft_lib.rTwoSDFStage
generic map (
g_nof_chan => g_fft.nof_chan,
g_stage => stage,
166,7 → 166,7
------------------------------------------------------------------------------
-- pipelined FFT output requantization
------------------------------------------------------------------------------
u_requantize_re : entity common_requantize_lib.common_requantize
u_requantize_re : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_out_scale_w,
186,7 → 186,7
out_ovr => open
);
 
u_requantize_im : entity common_requantize_lib.common_requantize
u_requantize_im : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_out_scale_w,
/trunk/fft_r2_wide.vhd
64,17 → 64,17
-- fft_sepa_wide could make reuse of a reorder component from the reorder
-- library instead of using a dedicated local solution.
 
library ieee, common_pkg_lib, common_components_lib, common_requantize_lib, rTwoSDF_lib;
library ieee, common_pkg_lib, common_components_lib, astron_requantize_lib, astron_r2sdf_fft_lib;
use IEEE.std_logic_1164.all;
use common_pkg_lib.common_pkg.all;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
 
entity fft_r2_wide is
generic (
g_fft : t_fft := c_fft; -- generics for the FFT
g_pft_pipeline : t_fft_pipeline := c_fft_pipeline; -- For the pipelined part, from rTwoSDF_lib.rTwoSDFPkg
g_fft_pipeline : t_fft_pipeline := c_fft_pipeline -- For the parallel part, from rTwoSDF_lib.rTwoSDFPkg
g_pft_pipeline : t_fft_pipeline := c_fft_pipeline; -- For the pipelined part, from astron_r2sdf_fft_lib.rTwoSDFPkg
g_fft_pipeline : t_fft_pipeline := c_fft_pipeline -- For the parallel part, from astron_r2sdf_fft_lib.rTwoSDFPkg
);
port (
clk : in std_logic;
311,7 → 311,7
-- OUTPUT QUANTIZER
---------------------------------------------------------------
gen_output_requantizers : for I in g_fft.wb_factor-1 downto 0 generate
u_requantize_output_re : entity common_requantize_lib.common_requantize
u_requantize_output_re : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_out_scale_w,
331,7 → 331,7
out_ovr => open
);
u_requantize_output_im : entity common_requantize_lib.common_requantize
u_requantize_output_im : entity astron_requantize_lib.common_requantize
generic map (
g_representation => "SIGNED",
g_lsb_w => c_out_scale_w,
/trunk/fft_reorder_sepa_pipe.vhd
32,7 → 32,7
-- Remarks: . This unit is only suitable for the pipelined fft (fft_r2_pipe).
--
 
library ieee, common_pkg_lib, common_counter_lib, common_ram_lib;
library ieee, common_pkg_lib, astron_counter_lib, astron_ram_lib;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use common_pkg_lib.common_pkg.all;
149,7 → 149,7
end generate;
end generate;
u_adr_point_cnt : entity common_counter_lib.common_counter
u_adr_point_cnt : entity astron_counter_lib.common_counter
generic map(
g_latency => 1,
g_init => 0,
170,7 → 170,7
cnt_ena <= '1' when in_val = '1' and TO_UINT(adr_chan_cnt) = c_nof_channels-1 else '0';
end generate;
u_adr_chan_cnt : entity common_counter_lib.common_counter
u_adr_chan_cnt : entity astron_counter_lib.common_counter
generic map(
g_latency => 1,
g_init => 0,
183,7 → 183,7
count => adr_chan_cnt
);
u_buff : entity common_ram_lib.common_paged_ram_r_w
u_buff : entity astron_ram_lib.common_paged_ram_r_w
generic map (
g_str => "use_adr",
g_data_w => c_dat_w,
/trunk/fft_sepa.vhd
50,7 → 50,7
-- . floor(x/2) : mean(-2 -1 -1 0 0 1 1) = -2/8 = -0.25 = -2^(N-1)/2 / 2^N
-- So the DC offset due to truncation is -0.25 LSbit, independent of N.
 
library IEEE, common_pkg_lib, common_add_sub_lib, common_requantize_lib;
library IEEE, common_pkg_lib, astron_adder_lib, astron_requantize_lib;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
use common_pkg_lib.common_pkg.ALL;
99,7 → 99,7
---------------------------------------------------------------
-- ADDER AND SUBTRACTOR
---------------------------------------------------------------
adder : entity common_add_sub_lib.common_add_sub
adder : entity astron_adder_lib.common_add_sub
generic map (
g_direction => "ADD",
g_representation => "SIGNED",
115,7 → 115,7
result => add_result
);
 
subtractor : entity common_add_sub_lib.common_add_sub
subtractor : entity astron_adder_lib.common_add_sub
generic map (
g_direction => "SUB",
g_representation => "SIGNED",
139,7 → 139,7
gen_sepa_round : IF c_sepa_round=TRUE GENERATE
-- round the one LSbit
round_add : ENTITY common_requantize_lib.common_round
round_add : ENTITY astron_requantize_lib.common_round
GENERIC MAP (
g_representation => "SIGNED", -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
g_round => TRUE, -- when TRUE round the input, else truncate the input
155,7 → 155,7
out_dat => add_result_q
);
round_sub : ENTITY common_requantize_lib.common_round
round_sub : ENTITY astron_requantize_lib.common_round
GENERIC MAP (
g_representation => "SIGNED", -- SIGNED (round +-0.5 away from zero to +- infinity) or UNSIGNED rounding (round 0.5 up to + inifinity)
g_round => TRUE, -- when TRUE round the input, else truncate the input
/trunk/fft_sepa_wide.vhd
37,7 → 37,7
-- and additional pipeling.
--
 
library ieee, common_pkg_lib, common_counter_lib, common_components_lib, common_ram_lib, common_multiplexer_lib;
library ieee, common_pkg_lib, astron_counter_lib, common_components_lib, astron_ram_lib, astron_multiplexer_lib;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use common_pkg_lib.common_pkg.all;
118,7 → 118,7
next_page <= '1' when unsigned(wr_adr) = c_page_size-1 and wr_en='1' else '0';
-- Counter will generate the write address
u_wr_adr_cnt : entity common_counter_lib.common_counter
u_wr_adr_cnt : entity astron_counter_lib.common_counter
generic map(
g_latency => 1,
g_init => 0,
133,7 → 133,7
 
-- Instantiation of the rams.
gen_dual_paged_rams : for I in g_fft.wb_factor - 1 downto 0 generate
u_buff : entity common_ram_lib.common_paged_ram_r_w
u_buff : entity astron_ram_lib.common_paged_ram_r_w
generic map (
g_str => "use_adr",
g_data_w => c_dat_w,
185,7 → 185,7
-- The output of the zip units is connected to the input of the
-- adjacent separate unit.
gen_separators : for I in g_fft.wb_factor - 1 downto 0 generate
u_zipper : entity common_multiplexer_lib.common_zip
u_zipper : entity astron_multiplexer_lib.common_zip
generic map (
g_nof_streams => c_nof_streams,
g_dat_w => c_dat_w
/trunk/fft_wide_unit.vhd
30,20 → 30,20
-- sync interval should be larger than the total pipeline
-- stages of the wideband fft.
 
library ieee, common_pkg_lib, common_ram_lib, dp_pkg_lib, rTwoSDF_lib, statistics_lib, mm_lib, dp_requantize_lib;
library ieee, common_pkg_lib, astron_ram_lib, dp_pkg_lib, astron_r2sdf_fft_lib, astron_statistics_lib, astron_mm_lib, astron_requantize_lib;
use IEEE.std_logic_1164.all;
use common_pkg_lib.common_pkg.all;
use common_ram_lib.common_ram_pkg.all;
use astron_ram_lib.common_ram_pkg.all;
use dp_pkg_lib.dp_stream_pkg.ALL;
use rTwoSDF_lib.rTwoSDFPkg.all;
use statistics_lib.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use astron_statistics_lib.all;
use work.fft_pkg.all;
 
entity fft_wide_unit is
generic (
g_fft : t_fft := c_fft; -- generics for the FFT
g_pft_pipeline : t_fft_pipeline := c_fft_pipeline; -- For the pipelined part, defined in rTwoSDF_lib.rTwoSDFPkg
g_fft_pipeline : t_fft_pipeline := c_fft_pipeline -- For the parallel part, defined in rTwoSDF_lib.rTwoSDFPkg
g_pft_pipeline : t_fft_pipeline := c_fft_pipeline; -- For the pipelined part, defined in astron_r2sdf_fft_lib.rTwoSDFPkg
g_fft_pipeline : t_fft_pipeline := c_fft_pipeline -- For the parallel part, defined in astron_r2sdf_fft_lib.rTwoSDFPkg
);
port (
dp_rst : in std_logic := '0';
109,7 → 109,7
-- Combine the internal array of mm interfaces for the subband
-- statistics to one array that is connected to the port of the
-- fft_wide_unit.
u_mem_mux_sst : entity mm_lib.common_mem_mux
u_mem_mux_sst : entity astron_mm_lib.common_mem_mux
generic map (
g_nof_mosi => g_fft.wb_factor,
g_mult_addr_w => ceil_log2(g_fft.stat_data_sz*c_nof_stats)
179,7 → 179,7
-- for multiplication, the incoming data cannot be wider
-- than 18 bit. Therefor a quantizer is inserted.
gen_subband_stats: for I in 0 to g_fft.wb_factor-1 generate
u_quantizer_for_bst : entity dp_requantize_lib.dp_requantize
u_quantizer_for_bst : entity astron_requantize_lib.dp_requantize
GENERIC MAP (
g_complex => TRUE,
g_representation => "SIGNED",
201,7 → 201,7
out_ovr => OPEN
);
 
u_subband_stats : entity statistics_lib.st_sst
u_subband_stats : entity astron_statistics_lib.st_sst
generic map(
g_nof_stat => c_nof_stats,
g_in_data_w => g_fft.stage_dat_w,
/trunk/fft_wide_unit_control.vhd
35,11 → 35,11
--
--
 
library IEEE, common_pkg_lib, common_ram_lib, common_fifo_lib, dp_pkg_lib;
library IEEE, common_pkg_lib, astron_ram_lib, astron_fifo_lib, dp_pkg_lib;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
use common_pkg_lib.common_pkg.ALL;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use work.fft_pkg.ALL;
 
95,7 → 95,7
---------------------------------------------------------------
-- INPUT FIFO FOR BSN
---------------------------------------------------------------
u_bsn_fifo : entity common_fifo_lib.common_fifo_sc
u_bsn_fifo : entity astron_fifo_lib.common_fifo_sc
generic map (
g_use_lut => TRUE, -- Make this FIFO in logic, since it's only 4 words deep.
g_reset => FALSE,
119,7 → 119,7
---------------------------------------------------------------
-- INPUT FIFO FOR ERR
---------------------------------------------------------------
u_error_fifo : entity common_fifo_lib.common_fifo_sc
u_error_fifo : entity astron_fifo_lib.common_fifo_sc
generic map (
g_use_lut => TRUE, -- Make this FIFO in logic, since it's only 4 words deep.
g_reset => FALSE,
143,7 → 143,7
---------------------------------------------------------------
-- FIFO FOR SYNC-BSN
---------------------------------------------------------------
u_sync_bsn_fifo : entity common_fifo_lib.common_fifo_sc
u_sync_bsn_fifo : entity astron_fifo_lib.common_fifo_sc
generic map (
g_use_lut => TRUE, -- Make this FIFO in logic, since it's only 4 words deep.
g_reset => FALSE,
167,7 → 167,7
---------------------------------------------------------------
-- CREATE READ-AHEAD FIFO INTERFACE FOR SYNC-BSN
---------------------------------------------------------------
u_fifo_adapter : entity common_fifo_lib.common_fifo_rd
u_fifo_adapter : entity astron_fifo_lib.common_fifo_rd
generic map (
g_dat_w => c_dp_stream_bsn_w
)
/trunk/hdllib.cfg
1,7 → 1,6
hdl_lib_name = fft_core
hdl_library_clause_name = fft_core_lib
hdl_lib_uses_synth = common_pkg common_add_sub common_requantize common_counter common_ram common_multiplexer rTwoSDF dp_pkg common_fifo statistics dp_requantize other
#common mm dp diag rTwoSDF st
hdl_lib_name = astron_wb_fft
hdl_library_clause_name = astron_wb_fft_lib
hdl_lib_uses_synth = common_pkg astron_adder astron_requantize astron_counter astron_ram astron_multiplexer astron_r2sdf_fft dp_pkg astron_fifo astron_statistics astron_sim_tools
hdl_lib_uses_sim =
hdl_lib_technology =
 
/trunk/tb_fft_pkg.vhd
18,15 → 18,15
--
-------------------------------------------------------------------------------
 
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib, mm_lib, common_ram_lib;
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib, astron_mm_lib, astron_ram_lib;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
use IEEE.std_logic_textio.all;
USE STD.textio.all;
USE common_pkg_lib.common_pkg.ALL;
USE common_ram_lib.common_ram_pkg.ALL;
USE astron_ram_lib.common_ram_pkg.ALL;
USE common_pkg_lib.tb_common_pkg.ALL;
USE mm_lib.tb_common_mem_pkg.ALL;
USE astron_mm_lib.tb_common_mem_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE work.fft_pkg.ALL;
 
/trunk/tb_fft_r2_bf_par.vhd
26,18 → 26,18
-- > run -all
-- Testbench is selftesting.
 
library IEEE, common_pkg_lib, dp_pkg_lib, diag_lib, rTwoSDF_lib, common_ram_lib, mm_lib, common_components_lib;
library IEEE, common_pkg_lib, dp_pkg_lib, astron_diagnostics_lib, astron_r2sdf_fft_lib, astron_ram_lib, astron_mm_lib, common_components_lib;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
use common_pkg_lib.common_pkg.ALL;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.common_lfsr_sequences_pkg.ALL;
use common_pkg_lib.tb_common_pkg.ALL;
use mm_lib.tb_common_mem_pkg.ALL;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use diag_lib.diag_pkg.ALL;
use rTwoSDF_lib.twiddlesPkg.all;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_diagnostics_lib.diag_pkg.ALL;
use astron_r2sdf_fft_lib.twiddlesPkg.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
 
entity tb_fft_r2_bf_par is
generic(
48,7 → 48,7
 
architecture tb of tb_fft_r2_bf_par is
 
constant c_pipeline : t_fft_pipeline := c_fft_pipeline; -- defined in rTwoSDF_lib.rTwoSDFPkg
constant c_pipeline : t_fft_pipeline := c_fft_pipeline; -- defined in astron_r2sdf_fft_lib.rTwoSDFPkg
constant c_clk_period : time := 10 ns;
constant c_nof_points : natural := 1024; -- Number of points should be a power of 2
139,7 → 139,7
wait;
end process;
u_block_generator : entity diag_lib.mms_diag_block_gen
u_block_generator : entity astron_diagnostics_lib.mms_diag_block_gen
generic map(
g_nof_streams => c_nof_streams,
g_buf_dat_w => c_nof_complex*c_in_dat_w,
/trunk/tb_fft_r2_par.vhd
53,17 → 53,17
-- . Use separate dut_clk and tb_clk (both directly related to clk), to be
-- able to disable the dut_clk during verification to significantly speed
-- up the simulation.
library ieee, common_pkg_lib, rTwoSDF_lib, common_ram_lib, mm_lib;
library ieee, common_pkg_lib, astron_r2sdf_fft_lib, astron_ram_lib, astron_mm_lib;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_textio.all;
use std.textio.all;
use common_pkg_lib.common_pkg.all;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.common_lfsr_sequences_pkg.ALL;
use common_pkg_lib.tb_common_pkg.all;
use mm_lib.tb_common_mem_pkg.ALL;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
use work.tb_fft_pkg.all;
 
/trunk/tb_fft_r2_pipe.vhd
79,17 → 79,17
-- > observe the *_scope signals as radix decimal, format analogue format
-- signals in the Wave window
--
library ieee, common_pkg_lib, rTwoSDF_lib, common_ram_lib, mm_lib;
library ieee, common_pkg_lib, astron_r2sdf_fft_lib, astron_ram_lib, astron_mm_lib;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_textio.all;
use std.textio.all;
use common_pkg_lib.common_pkg.all;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.common_lfsr_sequences_pkg.ALL;
use common_pkg_lib.tb_common_pkg.all;
use mm_lib.tb_common_mem_pkg.ALL;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
use work.tb_fft_pkg.all;
 
/trunk/tb_fft_r2_wide.vhd
40,17 → 40,17
-- > observe the *_scope signals as radix decimal, format analogue format
-- signals in the Wave window
--
library ieee, common_pkg_lib, rTwoSDF_lib, common_ram_lib, mm_lib, other_lib;
library ieee, common_pkg_lib, astron_r2sdf_fft_lib, astron_ram_lib, astron_mm_lib, astron_sim_tools_lib;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_textio.all;
use std.textio.all;
use common_pkg_lib.common_pkg.all;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.common_lfsr_sequences_pkg.ALL;
use common_pkg_lib.tb_common_pkg.all;
use mm_lib.tb_common_mem_pkg.ALL;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.fft_pkg.all;
use work.tb_fft_pkg.all;
 
448,7 → 448,7
end loop;
end process;
 
u_in_re_scope : entity other_lib.common_wideband_data_scope
u_in_re_scope : entity astron_sim_tools_lib.common_wideband_data_scope
generic map (
g_sim => TRUE,
g_wideband_factor => g_fft.wb_factor, -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
469,7 → 469,7
out_val => in_val_scope
);
 
u_in_im_scope : entity other_lib.common_wideband_data_scope
u_in_im_scope : entity astron_sim_tools_lib.common_wideband_data_scope
generic map (
g_sim => TRUE,
g_wideband_factor => g_fft.wb_factor, -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
490,7 → 490,7
out_val => open
);
 
u_out_re_scope : entity other_lib.common_wideband_data_scope
u_out_re_scope : entity astron_sim_tools_lib.common_wideband_data_scope
generic map (
g_sim => TRUE,
g_wideband_factor => g_fft.wb_factor, -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
511,7 → 511,7
out_val => out_val_c
);
 
u_out_im_scope : entity other_lib.common_wideband_data_scope
u_out_im_scope : entity astron_sim_tools_lib.common_wideband_data_scope
generic map (
g_sim => TRUE,
g_wideband_factor => g_fft.wb_factor, -- Wideband rate factor = 4 for dp_clk processing frequency is 200 MHz frequency and SCLK sample frequency Fs is 800 MHz
/trunk/tb_fft_reorder_sepa_pipe.vhd
27,16 → 27,16
-- Testbench is selftesting.
-- Run testbench for different values of c_seperate and c_reorder. (Recompile is required)
 
library IEEE, common_pkg_lib, dp_pkg_lib, diag_lib, mm_lib, common_ram_lib;
library IEEE, common_pkg_lib, dp_pkg_lib, astron_diagnostics_lib, astron_mm_lib, astron_ram_lib;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
use common_pkg_lib.common_pkg.ALL;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.common_lfsr_sequences_pkg.ALL;
use common_pkg_lib.tb_common_pkg.ALL;
use mm_lib.tb_common_mem_pkg.ALL;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use diag_lib.diag_pkg.ALL;
use astron_diagnostics_lib.diag_pkg.ALL;
 
entity tb_fft_reorder_sepa_pipe is
end tb_fft_reorder_sepa_pipe;
121,7 → 121,7
wait;
end process;
u_block_generator : entity diag_lib.mms_diag_block_gen
u_block_generator : entity astron_diagnostics_lib.mms_diag_block_gen
generic map(
g_nof_streams => 1,
g_buf_dat_w => c_nof_complex*c_in_dat_w,
/trunk/tb_fft_sepa.vhd
27,15 → 27,15
-- > Testbench is selftesting.
-- First frame contains always some errors.
 
library IEEE, common_pkg_lib, dp_pkg_lib, diag_lib, common_ram_lib, mm_lib;
library IEEE, common_pkg_lib, dp_pkg_lib, astron_diagnostics_lib, astron_ram_lib, astron_mm_lib;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
use common_pkg_lib.common_pkg.ALL;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.tb_common_pkg.ALL;
use mm_lib.tb_common_mem_pkg.ALL;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use diag_lib.diag_pkg.ALL;
use astron_diagnostics_lib.diag_pkg.ALL;
 
entity tb_fft_sepa is
end tb_fft_sepa;
118,7 → 118,7
wait;
end process;
 
u_block_generator : entity diag_lib.mms_diag_block_gen
u_block_generator : entity astron_diagnostics_lib.mms_diag_block_gen
generic map(
g_nof_streams => 1,
g_buf_dat_w => c_nof_complex*c_in_dat_w,
/trunk/tb_fft_wide_unit.vhd
27,19 → 27,19
-- > testbench is selftesting. The first four spectrums are verified.
--
 
library ieee, common_pkg_lib, dp_pkg_lib, diag_lib, rTwoSDF_lib, common_ram_lib, mm_lib;
library ieee, common_pkg_lib, dp_pkg_lib, astron_diagnostics_lib, astron_r2sdf_fft_lib, astron_ram_lib, astron_mm_lib;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_textio.all;
use STD.textio.all;
use common_pkg_lib.common_pkg.all;
use common_ram_lib.common_ram_pkg.ALL;
use astron_ram_lib.common_ram_pkg.ALL;
use common_pkg_lib.common_lfsr_sequences_pkg.all;
use common_pkg_lib.tb_common_pkg.all;
use mm_lib.tb_common_mem_pkg.ALL;
use astron_mm_lib.tb_common_mem_pkg.ALL;
use dp_pkg_lib.dp_stream_pkg.ALL;
use rTwoSDF_lib.twiddlesPkg.all;
use rTwoSDF_lib.rTwoSDFPkg.all;
use astron_r2sdf_fft_lib.twiddlesPkg.all;
use astron_r2sdf_fft_lib.rTwoSDFPkg.all;
use work.tb_fft_pkg.all;
use work.fft_pkg.all;
 
271,7 → 271,7
-- GENERATE BLOCK GENERATORS FOR STIMULI GENERATION
---------------------------------------------------------------
gen_block_gen : for I in 0 to g_fft.wb_factor-1 generate
u_block_generator : entity diag_lib.mms_diag_block_gen
u_block_generator : entity astron_diagnostics_lib.mms_diag_block_gen
generic map(
g_nof_streams => 1,
g_buf_dat_w => c_nof_complex*g_fft.in_dat_w,
/trunk/tb_mmf_fft_r2.vhd
43,19 → 43,19
-- > Stop the simulation manually in Modelsim by pressing the stop-button.
 
 
LIBRARY IEEE, common_pkg_lib, mm_lib, diag_lib, dp_pkg_lib, rTwoSDF_lib, common_ram_lib, mm_lib, other_lib;
LIBRARY IEEE, common_pkg_lib, astron_mm_lib, astron_diagnostics_lib, dp_pkg_lib, astron_r2sdf_fft_lib, astron_ram_lib, astron_mm_lib, astron_sim_tools_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE common_pkg_lib.common_pkg.ALL;
USE common_ram_lib.common_ram_pkg.ALL;
USE astron_ram_lib.common_ram_pkg.ALL;
USE common_pkg_lib.common_str_pkg.ALL;
USE common_pkg_lib.tb_common_pkg.ALL;
USE mm_lib.tb_common_mem_pkg.ALL;
USE mm_lib.mm_file_unb_pkg.ALL;
USE mm_lib.mm_file_pkg.ALL;
USE astron_mm_lib.tb_common_mem_pkg.ALL;
USE astron_mm_lib.mm_file_unb_pkg.ALL;
USE astron_mm_lib.mm_file_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE diag_lib.diag_pkg.ALL;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_diagnostics_lib.diag_pkg.ALL;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
 
ENTITY tb_mmf_fft_r2 IS
205,7 → 205,7
----------------------------------------------------------------------------
-- Source: block generator
----------------------------------------------------------------------------
u_bg : ENTITY diag_lib.mms_diag_block_gen
u_bg : ENTITY astron_diagnostics_lib.mms_diag_block_gen
GENERIC MAP(
g_nof_streams => c_nof_streams,
g_buf_dat_w => c_nof_complex*c_fft.in_dat_w,
230,7 → 230,7
out_sosi_arr => bg_sosi_arr
);
u_in_scope : ENTITY other_lib.dp_wideband_wb_arr_scope
u_in_scope : ENTITY astron_sim_tools_lib.dp_wideband_wb_arr_scope
GENERIC MAP (
g_sim => TRUE,
g_wideband_factor => c_fft.wb_factor,
310,7 → 310,7
out_sosi_arr(I).valid <= out_val;
END GENERATE;
u_out_scope : ENTITY other_lib.dp_wideband_wb_arr_scope
u_out_scope : ENTITY astron_sim_tools_lib.dp_wideband_wb_arr_scope
GENERIC MAP (
g_sim => TRUE,
g_wideband_factor => c_fft.wb_factor,
326,7 → 326,7
----------------------------------------------------------------------------
-- Sink: data buffer real
----------------------------------------------------------------------------
u_data_buf_re : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_re : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_type => e_real,
356,7 → 356,7
----------------------------------------------------------------------------
-- Sink: data buffer imag
----------------------------------------------------------------------------
u_data_buf_im : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_im : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_type => e_imag,
/trunk/tb_mmf_fft_r2_par.vhd
34,7 → 34,7
-- > Stop the simulation manually in Modelsim by pressing the stop-button.
 
 
LIBRARY IEEE, common_pkg_lib, unb_common_lib, mm_lib, diag_lib, dp_pkg_lib, rTwoSDF_lib;
LIBRARY IEEE, common_pkg_lib, unb_common_lib, astron_mm_lib, astron_diagnostics_lib, dp_pkg_lib, astron_r2sdf_fft_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE common_pkg_lib.common_pkg.ALL;
42,10 → 42,10
USE common_pkg_lib.common_str_pkg.ALL;
USE common_pkg_lib.tb_common_pkg.ALL;
USE common_lib.tb_common_mem_pkg.ALL;
USE mm_lib.mm_file_unb_pkg.ALL;
USE mm_lib.mm_file_pkg.ALL;
USE astron_mm_lib.mm_file_unb_pkg.ALL;
USE astron_mm_lib.mm_file_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
 
ENTITY tb_mmf_fft_r2_par IS
181,7 → 181,7
----------------------------------------------------------------------------
-- Source: block generator
----------------------------------------------------------------------------
u_bg : ENTITY diag_lib.mms_diag_block_gen
u_bg : ENTITY astron_diagnostics_lib.mms_diag_block_gen
GENERIC MAP(
g_nof_output_streams => c_nof_streams,
g_buf_dat_w => c_nof_complex*g_fft.in_dat_w,
242,7 → 242,7
----------------------------------------------------------------------------
-- Sink: data buffer real
----------------------------------------------------------------------------
u_data_buf_re : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_re : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_w => g_fft.out_dat_w,
271,7 → 271,7
----------------------------------------------------------------------------
-- Sink: data buffer imag
----------------------------------------------------------------------------
u_data_buf_im : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_im : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_w => g_fft.out_dat_w,
/trunk/tb_mmf_fft_r2_pipe.vhd
33,7 → 33,7
-- > Check the results of the python script.
-- > Stop the simulation manually in Modelsim by pressing the stop-button.
 
LIBRARY IEEE, common_pkg_lib, unb_common_lib, mm_lib, diag_lib, dp_pkg_lib, rTwoSDF_lib;
LIBRARY IEEE, common_pkg_lib, unb_common_lib, astron_mm_lib, astron_diagnostics_lib, dp_pkg_lib, astron_r2sdf_fft_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE common_pkg_lib.common_pkg.ALL;
41,10 → 41,10
USE common_pkg_lib.common_str_pkg.ALL;
USE common_pkg_lib.tb_common_pkg.ALL;
USE common_lib.tb_common_mem_pkg.ALL;
USE mm_lib.mm_file_unb_pkg.ALL;
USE mm_lib.mm_file_pkg.ALL;
USE astron_mm_lib.mm_file_unb_pkg.ALL;
USE astron_mm_lib.mm_file_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
 
ENTITY tb_mmf_fft_r2_pipe IS
182,7 → 182,7
----------------------------------------------------------------------------
-- Source: block generator
----------------------------------------------------------------------------
u_bg : ENTITY diag_lib.mms_diag_block_gen
u_bg : ENTITY astron_diagnostics_lib.mms_diag_block_gen
GENERIC MAP(
g_nof_output_streams => c_nof_streams,
g_buf_dat_w => c_nof_complex*g_fft.in_dat_w,
239,7 → 239,7
----------------------------------------------------------------------------
-- Sink: data buffer real
----------------------------------------------------------------------------
u_data_buf_re : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_re : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_w => g_fft.out_dat_w,
268,7 → 268,7
----------------------------------------------------------------------------
-- Sink: data buffer imag
----------------------------------------------------------------------------
u_data_buf_im : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_im : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_w => g_fft.out_dat_w,
/trunk/tb_mmf_fft_wide_unit.vhd
42,18 → 42,18
-- > Stop the simulation manually in Modelsim by pressing the stop-button.
 
 
LIBRARY IEEE, common_pkg_lib, mm_lib, diag_lib, dp_pkg_lib, rTwoSDF_lib, common_ram_lib;
LIBRARY IEEE, common_pkg_lib, astron_mm_lib, astron_diagnostics_lib, dp_pkg_lib, astron_r2sdf_fft_lib, astron_ram_lib;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE common_pkg_lib.common_pkg.ALL;
USE common_ram_lib.common_ram_pkg.ALL;
USE astron_ram_lib.common_ram_pkg.ALL;
USE common_pkg_lib.common_str_pkg.ALL;
USE common_pkg_lib.tb_common_pkg.ALL;
USE mm_lib.tb_common_mem_pkg.ALL;
USE mm_lib.mm_file_unb_pkg.ALL;
USE mm_lib.mm_file_pkg.ALL;
USE astron_mm_lib.tb_common_mem_pkg.ALL;
USE astron_mm_lib.mm_file_unb_pkg.ALL;
USE astron_mm_lib.mm_file_pkg.ALL;
USE dp_pkg_lib.dp_stream_pkg.ALL;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
 
ENTITY tb_mmf_fft_wide_unit IS
201,7 → 201,7
----------------------------------------------------------------------------
-- Source: block generator
----------------------------------------------------------------------------
u_bg : ENTITY diag_lib.mms_diag_block_gen
u_bg : ENTITY astron_diagnostics_lib.mms_diag_block_gen
GENERIC MAP(
g_nof_streams => c_nof_streams,
g_buf_dat_w => c_nof_complex*c_fft.in_dat_w,
257,7 → 257,7
----------------------------------------------------------------------------
-- Sink: data buffer real
----------------------------------------------------------------------------
u_data_buf_re : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_re : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_w => c_fft.out_dat_w,
286,7 → 286,7
----------------------------------------------------------------------------
-- Sink: data buffer imag
----------------------------------------------------------------------------
u_data_buf_im : ENTITY diag_lib.mms_diag_data_buffer
u_data_buf_im : ENTITY astron_diagnostics_lib.mms_diag_data_buffer
GENERIC MAP (
g_nof_streams => c_nof_streams,
g_data_w => c_fft.out_dat_w,
/trunk/tb_tb_fft_r2_par.vhd
27,10 → 27,10
-- > as 4
-- > run -all
 
LIBRARY IEEE, common_pkg_lib, rTwoSDF_lib;
LIBRARY IEEE, common_pkg_lib, astron_r2sdf_fft_lib;
USE IEEE.std_logic_1164.ALL;
USE common_pkg_lib.common_pkg.all;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
ENTITY tb_tb_fft_r2_par IS
/trunk/tb_tb_fft_r2_pipe.vhd
27,10 → 27,10
-- > as 4
-- > run -all
 
LIBRARY IEEE, common_pkg_lib, rTwoSDF_lib;
LIBRARY IEEE, common_pkg_lib, astron_r2sdf_fft_lib;
USE IEEE.std_logic_1164.ALL;
USE common_pkg_lib.common_pkg.all;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
ENTITY tb_tb_fft_r2_pipe IS
/trunk/tb_tb_fft_r2_wide.vhd
29,10 → 29,10
-- > as 4
-- > run -all
 
LIBRARY IEEE, common_pkg_lib, rTwoSDF_lib;
LIBRARY IEEE, common_pkg_lib, astron_r2sdf_fft_lib;
USE IEEE.std_logic_1164.ALL;
USE common_pkg_lib.common_pkg.all;
USE rTwoSDF_lib.rTwoSDFPkg.all;
USE astron_r2sdf_fft_lib.rTwoSDFPkg.all;
USE work.fft_pkg.all;
ENTITY tb_tb_fft_r2_wide IS

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.