URL
https://opencores.org/ocsvn/avs_aes/avs_aes/trunk
Subversion Repositories avs_aes
Compare Revisions
- This comparison shows the changes necessary to convert path
/avs_aes/trunk/rtl/VHDL
- from Rev 11 to Rev 20
- ↔ Reverse comparison
Rev 11 → Rev 20
/memory_word.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library ieee; |
/shiftrow_fwd.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library IEEE; |
/shiftrow.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library IEEE; |
/aes_fsm_decrypt.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
|
/mixcol_inv.vhd
44,9 → 44,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
|
/addroundkey.vhd
38,9 → 38,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library IEEE; |
/sbox.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
library ieee; |
use ieee.std_logic_1164.all; |
/aes_core.vhd
37,9 → 37,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library ieee; |
aes_core.vhd
Property changes :
Added: svn:keywords
## -0,0 +1 ##
+Date Author Revision
\ No newline at end of property
Index: shiftrow_inv.vhd
===================================================================
--- shiftrow_inv.vhd (revision 11)
+++ shiftrow_inv.vhd (revision 20)
@@ -39,9 +39,9 @@
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
--- $Author$
--- $Date$
--- $Revision$
+-- $Author:: $
+-- $Date:: $
+-- $Revision:: $
-------------------------------------------------------------------------------
library IEEE;
use IEEE.numeric_std.all;
Index: aes_fsm_encrypt.vhd
===================================================================
--- aes_fsm_encrypt.vhd (revision 11)
+++ aes_fsm_encrypt.vhd (revision 20)
@@ -36,9 +36,9 @@
-- THE POSSIBILITY OF SUCH DAMAGE
-------------------------------------------------------------------------------
-- version management:
--- $Author$
--- $Date$
--- $Revision$
+-- $Author:: $
+-- $Date:: $
+-- $Revision:: $
-------------------------------------------------------------------------------
/avs_aes.vhd
56,9 → 56,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
|
/avs_aes_pkg.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
|
/keyexpansionV2.vhd
50,9 → 50,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library IEEE; |
/sboxM4k.vhd
43,9 → 43,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
library ieee; |
use ieee.std_logic_1164.all; |
/sbox_arch1.vhd
39,9 → 39,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
library ieee; |
use ieee.numeric_std.all; |
/mux2.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
|
/mixcol_fwd.vhd
57,9 → 57,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
|
/mixcol.vhd
38,9 → 38,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library IEEE; |
/mux3.vhd
36,9 → 36,9
-- THE POSSIBILITY OF SUCH DAMAGE |
------------------------------------------------------------------------------- |
-- version management: |
-- $Author$ |
-- $Date$ |
-- $Revision$ |
-- $Author:: $ |
-- $Date:: $ |
-- $Revision:: $ |
------------------------------------------------------------------------------- |
|
library ieee; |