OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /axi4_tlm_bfm/trunk/rtl/packages
    from Rev 12 to Rev 17
    Reverse comparison

Rev 12 → Rev 17

/pkg-axi-tlm.vhdl
140,9 → 140,9
tStrb:t_qualifier;
tKeep:t_qualifier;
tLast:boolean;
tId:t_id;
tDest:t_dest;
tUser:t_user;
-- tId:t_id;
-- tDest:t_dest;
-- tUser:t_user;
end record t_axi4StreamTransactor_m2s;
type t_axi4StreamTransactor_s2m is record
156,7 → 156,8
-- cActive:
-- end record tAxiTransactor_lp;
type axiBfmStatesTx is (idle,sendAddr,startOfPacket,payload,endOfPacket,endOfTx);
-- type axiBfmStatesTx is (idle,sendAddr,startOfPacket,payload,endOfPacket,endOfTx);
type axiBfmStatesTx is (idle,payload,endOfTx);
type axiBfmStatesRx is (idle,checkAddr,startOfPacket,payload);
end package axiTLM;
 
181,7 → 182,8
library tauhop;
package transactor is new tauhop.tlm generic map(
t_addr=>unsigned(31 downto 0), -- default assignment. Used only for non-stream interfaces.
t_msg=>signed(63 downto 0),
-- t_msg=>signed(63 downto 0),
t_msg=>signed(31 downto 0),
t_cnt=>unsigned(127 downto 0)
);
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.