OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /axi4_tlm_bfm/trunk/rtl/quartus-synthesis
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/axi4-stream-bfm-master.vhdl
56,7 → 56,7
-- axiSlave_out:buffer tAxi4Transactor_s2m;
symbolsPerTransfer:in t_cnt;
outstandingTransactions:buffer t_cnt;
outstandingTransactions:in t_cnt;
/* Debug ports. */
-- dbg_cnt:out unsigned(9 downto 0);
76,22 → 76,6
signal i_readResponse,i_writeResponse:t_bfm;
begin
/* Transaction counter. */
process(n_areset,symbolsPerTransfer,aclk) is begin
--if not n_areset then outstandingTransactions<=symbolsPerTransfer;
if falling_edge(aclk) then
/* Use synchronous reset for outstandingTransactions to meet timing because it is a huge register set. */
if not n_areset then outstandingTransactions<=symbolsPerTransfer;
else
if outstandingTransactions<1 then
outstandingTransactions<=symbolsPerTransfer;
report "No more pending transactions." severity note;
elsif axiMaster_in.tReady then outstandingTransactions<=outstandingTransactions-1;
end if;
end if;
end if;
end process;
/* next-state logic for AXI4-Stream Master Tx BFM. */
axi_bfmTx_ns: process(all) is begin
axiTxState<=next_axiTxState;
/user.vhdl
276,7 → 276,7
/* Tester variables. */
/* Synthesis-only randomisation. */
variable rand0:signed(63 downto 0);
variable rand0:signed(axiMaster_out.tData'high downto 0);
/* Simulation-only randomisation. */
/* synthesis translate_off */
variable rv0:RandomPType;
314,6 → 314,21
end if;
end process sequencer_regs;
/* Transaction counter. */
process(nReset,symbolsPerTransfer,irq_write) is begin
if not nReset then outstandingTransactions<=symbolsPerTransfer;
elsif falling_edge(irq_write) then
/* Use synchronous reset for outstandingTransactions to meet timing because it is a huge register set. */
if not nReset then outstandingTransactions<=symbolsPerTransfer;
else
if outstandingTransactions<1 then
outstandingTransactions<=symbolsPerTransfer;
report "No more pending transactions." severity note;
elsif axiMaster_in.tReady then outstandingTransactions<=outstandingTransactions-1;
end if;
end if;
end if;
end process;
/* Reset symbolsPerTransfer to new value (prepare for new transfer) after current transfer has been completed. */
process(reset,irq_write) is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.