URL
https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk
Subversion Repositories axi4_tlm_bfm
Compare Revisions
- This comparison shows the changes necessary to convert path
/axi4_tlm_bfm/trunk/workspace
- from Rev 15 to Rev 16
- ↔ Reverse comparison
Rev 15 → Rev 16
/quartus/axi4-tlm.sdc
1,4 → 1,4
#create_clock -period 50MHz -name clk [get_ports {clk}] |
create_clock -period 100MHz -name clk [get_ports {clk}] |
derive_pll_clocks -create_base_clock |
#if {$::quartus(nameofexecutable) == "quartus_fit"} { |
#set_max_delay -from *symbolsPerTransfer* -to *i1_outstandingTransactions* -10.000 |
6,4 → 6,3
|
##set_max_delay -to [get_clocks clk] 20 |
#} |
|