OpenCores
URL https://opencores.org/ocsvn/axi4_tlm_bfm/axi4_tlm_bfm/trunk

Subversion Repositories axi4_tlm_bfm

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /axi4_tlm_bfm
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/trunk/testbench/questa/waves.do
11,10 → 11,14
add wave -position end sim:/user/reset
add wave -position end sim:/user/irq_write
add wave -position end -decimal sim:/user/axiMaster_in
add wave -position end -decimal sim:/user/axiMaster_out
add wave -position end -expand -decimal sim:/user/axiMaster_out
add wave -position end -decimal sim:/user/readRequest
add wave -position end -decimal sim:/user/writeRequest
add wave -position end -expand -decimal sim:/user/writeRequest
add wave -position end -decimal sim:/user/readResponse
add wave -position end -decimal sim:/user/writeResponse
add wave -position end -format analog-step -height 80 -scale 0.00000003 sim:/user/axiMaster_out.tData
 
run 80 ns;
 
wave zoomfull
#.wave.tree zoomfull # with some versions of ModelSim
/trunk/rtl/user.vhdl
147,7 → 147,7
/* Simulation-only randomisation. */
variable seed0,seed1:positive:=1;
variable rand0,rand1:real;
variable rand0:real;
begin
if reset then
154,11 → 154,11
seed0:=1; seed1:=1;
uniform(seed0,seed1,rand0);
symbolsPerTransfer<=120x"0" & to_unsigned(integer(rand0*4096.0),8);
symbolsPerTransfer<=120x"0" & to_unsigned(integer(rand0 * 2.0**8),8);
elsif falling_edge(irq_write) then
if outstandingTransactions>0 then
uniform(seed0,seed1,rand0);
writeStream(to_unsigned(integer(rand0*4096.0),64));
writeStream(to_unsigned(integer(rand0 * 2.0**31),64));
else
/* Testcase 1: number of symbols per transfer becomes 0 after first stream transfer. */
166,8 → 166,8
/* Testcase 2: number of symbols per transfer is randomised. */
uniform(seed0,seed1,rand0);
symbolsPerTransfer<=120x"0" & to_unsigned(integer(rand0*4096.0),8); --symbolsPerTransfer'length
report "symbols per transfer = " & ieee.numeric_std.to_hstring(to_unsigned(integer(rand0*4096.0),8)); --axiMaster_out.tData'length));
symbolsPerTransfer<=120x"0" & to_unsigned(integer(rand0 * 2.0**8),8); --symbolsPerTransfer'length
report "symbols per transfer = " & ieee.numeric_std.to_hstring(to_unsigned(integer(rand0 * 2.0**8),8)); --axiMaster_out.tData'length));
end if;
end if;
end process sequencer;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.