URL
https://opencores.org/ocsvn/btc_dsha256/btc_dsha256/trunk
Subversion Repositories btc_dsha256
Compare Revisions
- This comparison shows the changes necessary to convert path
/btc_dsha256/trunk/rtl
- from Rev 5 to Rev 6
- ↔ Reverse comparison
Rev 5 → Rev 6
/vhdl/TestBench/sha_256_chunk_TB.vhd
26,8 → 26,6
-- -- |
------------------------------------------------------------------- |
|
library hotan; |
use hotan.sha_256_pkg.all; |
library ieee; |
use ieee.NUMERIC_STD.all; |
use ieee.std_logic_1164.all; |
34,6 → 32,8
use IEEE.std_logic_arith.all; |
use IEEE.NUMERIC_STD.ALL; |
|
use work.sha_256_pkg.all; |
|
-- Add your library and packages declaration here ... |
|
entity sha_256_chunk_tb is |
/vhdl/TestBench/btc_dsha_TB.vhd
26,8 → 26,7
-- -- |
------------------------------------------------------------------- |
|
library hotan; |
use hotan.sha_256_pkg.all; |
|
library ieee; |
use ieee.NUMERIC_STD.all; |
use ieee.STD_LOGIC_UNSIGNED.all; |
34,6 → 33,8
use ieee.std_logic_1164.all; |
use ieee.std_logic_arith.all; |
|
use work.sha_256_pkg.all; |
|
-- Add your library and packages declaration here ... |
|
entity btc_dsha_tb is |