OpenCores
URL https://opencores.org/ocsvn/bu_pacman/bu_pacman/trunk

Subversion Repositories bu_pacman

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /bu_pacman/tags/arelease/Display_Controller_xdb/tmp
    from Rev 4 to Rev 6
    Reverse comparison

Rev 4 → Rev 6

/ise/__OBJSTORE__/ProjectNavigator/__stored_objects___StrTbl
0,0 → 1,?rev2len?
NworkverilogDisplay_Controller****PROP_DevFamilyPMName=acr2********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=spartan3********PROP_Parse_Target=synthesis********PROP_Parse_Target=synthesis****PROP_Parse_TargetsynthesisPROP_DevFamilyPMNamespartan3PROP_DevFamilyAutomotive CoolRunner2Spartan3PROP_Dummydum1CoolRunner XPLA3 CPLDsXC9500XV CPLDsXC9500XL CPLDsXC9500 CPLDsCoolRunner2 CPLDsAutomotive 9500XLVirtexEVirtex5Virtex4Virtex2PVirtex2VirtexSpartan3ESpartan-3A DSPSpartan3A and Spartan3ANSpartan2ESpartan2QPro VirtexE MilitaryQPro Virtex4 Hi-RelQPro Virtex2P Hi-RelQPro Virtex2 MilitaryQPro Virtex Hi-RelQPro Virtex4 Rad TolerantQPro Virtex2 Rad TolerantQPro Virtex Rad-HardAutomotive Spartan3EAutomotive Spartan3Automotive Spartan2EPROP_xstVeriIncludeDir_GlobalPLUGIN_EdifPLUGIN_GeneralPLUGIN_NcdPLUGIN_VerilogPLUGIN_VhdllibHdlacr2|File||X:/Display_Controller/Display_Controller.stx|PLUGIN_General|1227492708|FILE_XST_STX|Generic||Display_Controller.stxDisplay_Controller.stxDESUT_XST_STX|File||X:/Display_Controller/fifo_generator_v4_3.ngc|PLUGIN_NGC|1227478462|PLUGIN_NGCFILE_NGC|Module||fifo_generator_v4_3fifo_generator_v4_3DESUT_NGC3s1000ft256-4|File||X:/Display_Controller/fifo_generator_v4_3_readme.txt|PLUGIN_General|1227478463|FILE_USERDOC|Generic||fifo_generator_v4_3_readme.txtfifo_generator_v4_3_readme.txtDESUT_USERDOC|File||X:/Display_Controller/fifo_generator_v4_3_flist.txt|PLUGIN_General|1227478463||Generic||fifo_generator_v4_3_flist.txtfifo_generator_v4_3_flist.txt|File||X:/Display_Controller/fifo_generator_v4_3_fifo_generator_v4_3_xst_1_vhdl.prj|PLUGIN_General|1227478411|FILE_XST_PROJECT|Generic||fifo_generator_v4_3_fifo_generator_v4_3_xst_1_vhdl.prjfifo_generator_v4_3_fifo_generator_v4_3_xst_1_vhdl.prjDESUT_XST_PROJECT|File||X:/Display_Controller/fifo_generator_v4_3_fifo_generator_v4_3_xst_1.ngc_xst.xrpt|PLUGIN_General|1227478447|FILE_XRPT|Generic||fifo_generator_v4_3_fifo_generator_v4_3_xst_1.ngc_xst.xrptfifo_generator_v4_3_fifo_generator_v4_3_xst_1.ngc_xst.xrptDESUT_GENERIC|File||X:/Display_Controller/fifo_generator_v4_3.xco|PLUGIN_SingleModule|1227478463|PLUGIN_SingleModuleFILE_COREGENDESUT_XCOTBIND_regenerateCoreTRAN_regenerateCoreTBIND_coreManage-4xc3s1000Under Current Project SettingVerilogft256|File||X:/Display_Controller/fifo_generator_v4_3.veo|PLUGIN_General|1227478451|FILE_VEO|Generic||fifo_generator_v4_3.veofifo_generator_v4_3.veoDESUT_XCO_MISC|File||X:/Display_Controller/fifo_generator_v4_3.v|PLUGIN_Verilog|1227480695|FILE_VERILOGDESUT_VERILOG|ComponentInstantiation||fifo_generator_v4_3|fifo_generator_v4_3|inst|FIFO_GENERATOR_V4_3|instFIFO_GENERATOR_V4_3|File||X:/Display_Controller/fifo_1.cgp|PLUGIN_General|1227478358|FILE_COREGEN_PROJECT|Generic||fifo_1.cgpfifo_1.cgpDESUT_COREGEN_PROJECT|File||X:/Display_Controller/fifo_generator_v4_3_xmdf.tcl|PLUGIN_General|1227478463|FILE_TCL|Generic||fifo_generator_v4_3_xmdf.tclfifo_generator_v4_3_xmdf.tclDESUT_TCL|File||X:/Display_Controller/Display_Controller_map.ncd|PLUGIN_NCD|1227492766|PLUGIN_NCDFILE_NCD|Module||Display_ControllerDESUT_NCD|File||X:/Display_Controller/Display_Controller.cmd_log|PLUGIN_General|1227492835|FILE_CMD_LOG|Generic||Display_Controller.cmd_logDisplay_Controller.cmd_logDESUT_CMD_LOG|File||X:/Display_Controller/Display_Controller.ngr|PLUGIN_NGR|1227492703|PLUGIN_NGRFILE_NGRDESUT_NGR|File||X:/Display_Controller/Display_Controller.ngc|PLUGIN_NGC|1227492707|xc3s1000-4-ft256|File||X:/Display_Controller/Display_Controller.prj|PLUGIN_General|1227492681||Generic||Display_Controller.prjDisplay_Controller.prj|File||X:/Display_Controller/Display_Controller.syr|PLUGIN_General|1227492708|FILE_XST_REPORT|Generic||Display_Controller.syrDisplay_Controller.syrDESUT_XST_REPORT|File||X:/Display_Controller/Display_Controller.lso|PLUGIN_General|1227389663|FILE_LSO|Generic||Display_Controller.lsoDisplay_Controller.lsoDESUT_LSO|File||X:/Display_Controller/Display_Controller.xst|PLUGIN_General|1227492681|FILE_XST|Generic||Display_Controller.xstDisplay_Controller.xstDESUT_XST|File||X:/Display_Controller/color_fsm.ngr|PLUGIN_NGR|1227389504||Module||color_fsmcolor_fsm3s200ft256-4|File||X:/Display_Controller/color_fsm.ngc|PLUGIN_NGC|1227389504|xc3s200-4-ft256|File||X:/Display_Controller/Display_Controller.ucf|PLUGIN_AssocModule|1227411311|PLUGIN_AssocModule/Display_ControllerFILE_UCF|Module||Display_Controller.ucfDisplay_Controller.ucfDESUT_UCF|File||X:/Display_Controller/generalte_color.v|PLUGIN_Verilog|1227236890||Module||generalte_colorgeneralte_color|File||X:/Display_Controller/_impact.log|PLUGIN_General|1227492913|FILE_LOG|Generic||_impact.log_impact.logDESUT_LOG|File||X:/Display_Controller/_impact.cmd|PLUGIN_General|1227492879|FILE_CMD|Generic||_impact.cmd_impact.cmdDESUT_CMD|File||X:/Display_Controller/device_usage_statistics.html|PLUGIN_General|1227492861|FILE_HTML|Generic||device_usage_statistics.htmldevice_usage_statistics.html|File||X:/Display_Controller/test_memory.msk|PLUGIN_General|1227233298|FILE_MSK|Generic||test_memory.msktest_memory.mskDESUT_BITGEN_MISC|File||X:/Display_Controller/test_memory.bgn|PLUGIN_General|1227233298|FILE_BITGEN_REPORT|Generic||test_memory.bgntest_memory.bgnDESUT_BITGEN_REPORT|File||X:/Display_Controller/test_memory.bit|PLUGIN_General|1227233297|FILE_BIT|Generic||test_memory.bittest_memory.bitDESUT_BIT|File||X:/Display_Controller/test_memory.drc|PLUGIN_General|1227233295|FILE_BITGEN_DRC|Generic||test_memory.drctest_memory.drcDESUT_BITGEN_DRC|File||X:/Display_Controller/test_memory.twx|PLUGIN_General|1227233287|FILE_TIMING_XML_REPORT|Generic||test_memory.twxtest_memory.twxDESUT_TIMING_XML_REPORT|File||X:/Display_Controller/test_memory.twr|PLUGIN_General|1227233287|FILE_TIMING_TXT_REPORT|Generic||test_memory.twrtest_memory.twrDESUT_TIMING_TXT_REPORT|File||X:/Display_Controller/test_memory_pad.csv|PLUGIN_General|1227233265|FILE_PAD_EXCEL_REPORT|Generic||test_memory_pad.csvtest_memory_pad.csvDESUT_PAD_EXCEL_REPORT|File||X:/Display_Controller/test_memory_pad.txt|PLUGIN_General|1227233271|FILE_PAD_TXT_REPORT|Generic||test_memory_pad.txttest_memory_pad.txtDESUT_PAD_TXT_REPORTTBIND_viewPadRptsTRAN_viewPadRpts|File||X:/Display_Controller/test_memory_par.xrpt|PLUGIN_General|1227233271||Generic||test_memory_par.xrpttest_memory_par.xrpt|File||X:/Display_Controller/test_memory.xpi|PLUGIN_General|1227233271|FILE_XPI|Generic||test_memory.xpitest_memory.xpiDESUT_XPI|File||X:/Display_Controller/test_memory.unroutes|PLUGIN_General|1227233271|FILE_UNROUTES|Generic||test_memory.unroutestest_memory.unroutesDESUT_UNROUTES|File||X:/Display_Controller/test_memory.par|PLUGIN_General|1227233271|FILE_PAR_REPORT|Generic||test_memory.partest_memory.parDESUT_PAR_REPORTTBIND_viewParRptsTRAN_viewParRpts|File||X:/Display_Controller/test_memory.pad|PLUGIN_General|1227233268|FILE_PAD_MISC|Generic||test_memory.padtest_memory.padDESUT_PAD_MISC|File||X:/Display_Controller/test_memory.ncd|PLUGIN_NCD|1227233271||Module||test_memorytest_memory|File||X:/Display_Controller/test_memory_guide.ncd|PLUGIN_NCD|1227233271||File||X:/Display_Controller/test_memory_usage.xml|PLUGIN_General|1227233298|FILE_WEBTALK|Generic||test_memory_usage.xmltest_memory_usage.xml|File||X:/Display_Controller/test_memory_map.ngm|PLUGIN_NGM|1227233232|PLUGIN_NGMFILE_NGMDESUT_NGM|File||X:/Display_Controller/test_memory.pcf|PLUGIN_General|1227233233|FILE_PCF|Generic||test_memory.pcftest_memory.pcfDESUT_PCF|File||X:/Display_Controller/test_memory_map.ncd|PLUGIN_NCD|1227233233||File||X:/Display_Controller/test_memory_map.xrpt|PLUGIN_General|1227233233||Generic||test_memory_map.xrpttest_memory_map.xrpt|File||X:/Display_Controller/test_memory_map.mrp|PLUGIN_General|1227233233|FILE_MAP_REPORT|Generic||test_memory_map.mrptest_memory_map.mrpDESUT_MAP_REPORT|File||X:/Display_Controller/test_memory.bld|PLUGIN_General|1227233215|FILE_NGDBUILD_LOG|Generic||test_memory.bldtest_memory.bldDESUT_NGDBUILD_LOG|File||X:/Display_Controller/test_memory.ngd|PLUGIN_NGD|1227233212|PLUGIN_NGDFILE_NGDDESUT_NGD|File||X:/Display_Controller/test_memory.ngr|PLUGIN_NGR|1227233180||File||X:/Display_Controller/test_memory.ngc|PLUGIN_NGC|1227233183||File||X:/Display_Controller/test_memory.stx|PLUGIN_General|1227233183||Generic||test_memory.stxtest_memory.stx|File||X:/Display_Controller/test_memory.lfp|PLUGIN_General|1227232717|FILE_LFP|Generic||test_memory.lfptest_memory.lfpDESUT_LFP|File||X:/Display_Controller/blk_mem_gen_v2_7_xmdf.tcl|PLUGIN_General|1227231003||Generic||blk_mem_gen_v2_7_xmdf.tclblk_mem_gen_v2_7_xmdf.tcl|File||X:/Display_Controller/blk_mem_gen_v2_7_flist.txt|PLUGIN_General|1227231003||Generic||blk_mem_gen_v2_7_flist.txtblk_mem_gen_v2_7_flist.txt|File||X:/Display_Controller/blk_mem_gen_v2_7_blk_mem_gen_v2_7_xst_1.ngc_xst.xrpt|PLUGIN_General|1227230988||Generic||blk_mem_gen_v2_7_blk_mem_gen_v2_7_xst_1.ngc_xst.xrptblk_mem_gen_v2_7_blk_mem_gen_v2_7_xst_1.ngc_xst.xrpt|File||X:/Display_Controller/blk_mem_gen_v2_7.mif|PLUGIN_General|1227230904|FILE_COREGEN_MISC|Generic||blk_mem_gen_v2_7.mifblk_mem_gen_v2_7.mif|File||X:/Display_Controller/mem40_16.cgp|PLUGIN_General|1227230783||Generic||mem40_16.cgpmem40_16.cgp|File||X:/Display_Controller/work|PLUGIN_General|1227254897|FILE_DIRECTORY|Generic||workDESUT_DIRECTORY|File||X:/Display_Controller/vsim.wlf|PLUGIN_General|1227254897|FILE_MODELSIM_LOG|Generic||vsim.wlfvsim.wlfDESUT_MODELSIM_LOG|File||X:/Display_Controller/test_mem_init.fdo|PLUGIN_General|1227229608|FILE_MODELSIM_CMD|Generic||test_mem_init.fdotest_mem_init.fdoDESUT_MODELSIM_CMD|File||X:/Display_Controller/test_mem_init.v|PLUGIN_Verilog|1227229595||ComponentInstantiation||test_mem_init|test_mem_init|uut|blk_mem_gen_v2_7||Module||test_mem_inittest_mem_inituutblk_mem_gen_v2_7|File||X:/Display_Controller/test_memory.cmd_log|PLUGIN_General|1227233289||Generic||test_memory.cmd_logtest_memory.cmd_log|File||X:/Display_Controller/test_memory.prj|PLUGIN_General|1227233159||Generic||test_memory.prjtest_memory.prj|File||X:/Display_Controller/test_memory.syr|PLUGIN_General|1227233183||Generic||test_memory.syrtest_memory.syr|File||X:/Display_Controller/test_memory.lso|PLUGIN_General|1227228543||Generic||test_memory.lsotest_memory.lso|File||X:/Display_Controller/test_memory.xst|PLUGIN_General|1227233159||Generic||test_memory.xsttest_memory.xst|File||X:/Display_Controller/_xmsgs/bitgen.xmsgs|PLUGIN_General|1227492852|FILE_XMSGS|Generic||bitgen.xmsgsbitgen.xmsgsDESUT_XMSGS|File||X:/Display_Controller/display_controller.msk|PLUGIN_General|1227492851||Generic||display_controller.mskdisplay_controller.msk|File||X:/Display_Controller/display_controller.bgn|PLUGIN_General|1227492851||Generic||display_controller.bgndisplay_controller.bgn|File||X:/Display_Controller/display_controller.bit|PLUGIN_General|1227492847||Generic||display_controller.bitdisplay_controller.bit|File||X:/Display_Controller/display_controller.drc|PLUGIN_General|1227492842||Generic||display_controller.drcdisplay_controller.drc|File||X:/Display_Controller/_xmsgs/trce.xmsgs|PLUGIN_General|1227492833||Generic||trce.xmsgstrce.xmsgs|File||X:/Display_Controller/Display_Controller.twx|PLUGIN_General|1227492833||Generic||Display_Controller.twxDisplay_Controller.twx|File||X:/Display_Controller/Display_Controller.twr|PLUGIN_General|1227492833||Generic||Display_Controller.twrDisplay_Controller.twr|File||X:/Display_Controller/_xmsgs/par.xmsgs|PLUGIN_General|1227492826||Generic||par.xmsgspar.xmsgs|File||X:/Display_Controller/Display_Controller_pad.csv|PLUGIN_General|1227492814||Generic||Display_Controller_pad.csvDisplay_Controller_pad.csv|File||X:/Display_Controller/Display_Controller_pad.txt|PLUGIN_General|1227492820||Generic||Display_Controller_pad.txtDisplay_Controller_pad.txt|File||X:/Display_Controller/Display_Controller_par.xrpt|PLUGIN_General|1227492820||Generic||Display_Controller_par.xrptDisplay_Controller_par.xrpt|File||X:/Display_Controller/Display_Controller.xpi|PLUGIN_General|1227492820||Generic||Display_Controller.xpiDisplay_Controller.xpi|File||X:/Display_Controller/Display_Controller.unroutes|PLUGIN_General|1227492820||Generic||Display_Controller.unroutesDisplay_Controller.unroutes|File||X:/Display_Controller/Display_Controller.par|PLUGIN_General|1227492820||Generic||Display_Controller.parDisplay_Controller.par|File||X:/Display_Controller/Display_Controller.pad|PLUGIN_General|1227492817||Generic||Display_Controller.padDisplay_Controller.pad|File||X:/Display_Controller/Display_Controller.ncd|PLUGIN_NCD|1227492820||File||X:/Display_Controller/Display_Controller_guide.ncd|PLUGIN_NCD|1227492820||File||X:/Display_Controller/_xmsgs/map.xmsgs|PLUGIN_General|1227492771||Generic||map.xmsgsmap.xmsgs|File||X:/Display_Controller/Display_Controller_usage.xml|PLUGIN_General|1227492852||Generic||Display_Controller_usage.xmlDisplay_Controller_usage.xml|File||X:/Display_Controller/Display_Controller_map.ngm|PLUGIN_NGM|1227492765||File||X:/Display_Controller/Display_Controller.pcf|PLUGIN_General|1227492766||Generic||Display_Controller.pcfDisplay_Controller.pcf|File||X:/Display_Controller/Display_Controller_map.xrpt|PLUGIN_General|1227492767||Generic||Display_Controller_map.xrptDisplay_Controller_map.xrpt|File||X:/Display_Controller/Display_Controller_map.mrp|PLUGIN_General|1227492767||Generic||Display_Controller_map.mrpDisplay_Controller_map.mrp|File||X:/Display_Controller/_xmsgs/ngdbuild.xmsgs|PLUGIN_General|1227492743||Generic||ngdbuild.xmsgsngdbuild.xmsgs|File||X:/Display_Controller/_ngo|PLUGIN_General|1227492738||Generic||_ngo_ngo|File||X:/Display_Controller/_ngo/netlist.lst|PLUGIN_General|1227492743|FILE_LST|Generic||netlist.lstnetlist.lstDESUT_LST|File||X:/Display_Controller/Display_Controller.bld|PLUGIN_General|1227492743||Generic||Display_Controller.bldDisplay_Controller.bld|File||X:/Display_Controller/Display_Controller.ngd|PLUGIN_NGD|1227492740||File||X:/Display_Controller/Display_Controller_xdb/cst.xbcd|PLUGIN_General|1227492740|FILE_BCD|Generic||cst.xbcdcst.xbcdDESUT_BCD|File||X:/Display_Controller/Display_Controller.lfp|PLUGIN_General|1227410941||Generic||Display_Controller.lfpDisplay_Controller.lfp|File||X:/Display_Controller/vga_pins.ucf|PLUGIN_AssocModule|1227232455|/test_memory|Module||vga_pins.ucfvga_pins.ucf|File||X:/Display_Controller/generate_add.v|PLUGIN_Verilog|1227480644||Module||generate_addgenerate_add|File||X:/Display_Controller/xst|PLUGIN_General|1227161633||Generic||xstxst|File||X:/Display_Controller/clock_divider.v|PLUGIN_Verilog|1227161394||Module||clk_dividerclk_divider|File||X:/Display_Controller/Display_Controller.v|PLUGIN_Verilog|1227479721||ComponentInstantiation||Display_Controller|Display_Controller|add1|generate_add||ComponentInstantiation||Display_Controller|Display_Controller|clk1|clk_divider||ComponentInstantiation||Display_Controller|Display_Controller|clk2|clk_divider||ComponentInstantiation||Display_Controller|Display_Controller|clk3|clk_divider||ComponentInstantiation||Display_Controller|Display_Controller|fifo1|fifo_generator_v4_3||ComponentInstantiation||Display_Controller|Display_Controller|vga1|vga_controller||ComponentInstantiation||Display_Controller|Display_Controller|vga2|color_fsm||ComponentInstantiation||Display_Controller|Display_Controller|vga3|vga_display|add1vga3vga_displayvga2fifo1vga1vga_controllerclk3clk2clk1|File||X:/Display_Controller/_xmsgs/xst.xmsgs|PLUGIN_General|1227492708||Generic||xst.xmsgsxst.xmsgs|File||X:/Display_Controller/color_fsm.v|PLUGIN_Verilog|1227410238||File||X:/Display_Controller/vga_display.v|PLUGIN_Verilog|1227492646||Module||vga_display|File||X:/Display_Controller/vga_controller.v|PLUGIN_Verilog|1227409639||Module||vga_controllerAutoGeneratedViewVIEW_AssignPackagePinsTBIND_XSTAssignPackagePinsXST (VHDL/Verilog)HDL<>TRAN_assignPackagePinsVIEW_XSTPreSynthesisTBINDEXT_XSTPreSynthesisToStructural_spartan3TRAN_SubProjectPreToStructuralProxyModule|Display_ControllerTRAN_compileBCD2falseAutotrue8100NoMaintain./xstYesSpeedAllClockNets/NoneLUTNormalAs Optimized500TRANEXT_xstsynthesize_spartan3VIEW_StructuralTBIND_StructuralToPost-SynthesisAbstractSimulationTRAN_postSynthesisSimModelVIEW_Post-SynthesisAbstractSimulation/test_mem_initTBINDEXT_StructuralToTranslation_FPGATimestampOffTRANEXT_ngdbuild_FPGAVIEW_TranslationTBIND_xlateFloorPlannerTRAN_xlateFloorPlannerVIEW_Post-TranslateFloorPlannerTBIND_xlateAssignPackagePinsTRAN_xlateAssignPackagePinsVIEW_Post-TranslateAssignPinsTBIND_TranslationToPost-TranslateFormalityNetlistTRAN_postXlateFormalityNetlistVIEW_Post-TranslateFormalityNetlistTBIND_TranslationToPost-TranslateAbstractSimulationTRAN_postXlateSimModelVIEW_Post-TranslateAbstractSimulationTBIND_Post-TranslateAbstractToTBWPreSimulationTRAN_createPostXlateTestBenchTRAN_copyPost-TranslateAbstractToPreSimulationVIEW_TBWPost-TranslatePreSimulationTBIND_TBWPost-TranslatePreToSimulationModelSimTRAN_MSimulatePostTranslateModel(bencher)VIEW_TBWPost-TranslateSimulationModelSimTBIND_Post-TranslateAbstractToPreSimulationVIEW_Post-TranslatePreSimulationTBIND_Post-TranslatePreToSimulationModelSimTRAN_MSimulatePostTranslateModelVIEW_Post-TranslateSimulationModelSimTBIND_TranslateToSmartTRAN_CopySmartXplorerResultTRAN_SmartXplorerVIEW_SmartXplorerTBIND_NGCAssignPackagePinsTRAN_ngcAssignPackagePinsVIEW_ngcAssignPackagePinsTBIND_FloorplanDesignTRAN_floorplanDesignVIEW_Post-TranslateFloorplanDesignTBIND_CreateTimingConstraintsTRAN_createTimingConstraintsVIEW_Post-TranslateTimingConstraintsTBIND_CreateAreaConstraintsTRAN_createAreaConstraintsVIEW_Post-TranslateAreaConstraintsTBINDEXT_TranslationToMap_spartan3Area4TRANEXT_map_spartan3VIEW_MapTBIND_preRouteTrceTRAN_preRouteTrceVIEW_Post-MapStaticTimingTBIND_mapFpgaEditorTRAN_mapFpgaEditorVIEW_Post-MapFpgaEditorTBIND_mapFloorPlannerTRAN_mapFloorPlannerVIEW_Post-MapFloorPlannerTBIND_MapToPost-MapAbstractSimulationTRAN_postMapSimModelVIEW_Post-MapAbstractSimulationTBIND_Post-MapAbstractToTBWPreSimulationTRAN_createPostMapTestBenchTRAN_copyPost-MapAbstractToPreSimulationVIEW_TBWPost-MapPreSimulationTBIND_TBWPost-MapPreToSimulationModelSimTRAN_MSimulatePostMapModel(bencher)VIEW_TBWPost-MapSimulationModelSimTBIND_Post-MapAbstractToPreSimulationVIEW_Post-MapPreSimulationTBIND_Post-MapPreToSimulationModelSimTRAN_MSimulatePostMapModelVIEW_Post-MapSimulationModelSimTBINDEXT_MapToPar_spartan3Normal Place and RouteStandard1TRANEXT_par_spartan3VIEW_ParTBIND_postRouteTrce3Error ReportTRAN_postRouteTrceVIEW_Post-ParStaticTimingTBIND_postParPrimetimeNetlistTRAN_postParPrimetimeNetlistVIEW_PrimetimeNetlistTBIND_parFpgaEditorTRAN_parFpgaEditorVIEW_Post-ParFpgaEditorTBIND_parFloorPlannerTRAN_parFloorPlannerVIEW_Post-ParFloorPlannerTBIND_genPowerDataTRAN_genPowerDataVIEW_FPGAGeneratePowerDataTBIND_createIBISModelTRAN_createIBISModelVIEW_IBISModelTBIND_XpowerTRAN_XPowerVIEW_FPGAAnalyzePowerTBIND_ParToPost-ParFormalityNetlistTRAN_postParFormalityNetlistVIEW_Post-ParFormalityNetlistTBIND_ParToPost-ParClockRegionTRAN_clkRegionRptVIEW_Post-ParClockRegionReportTBIND_ParToPost-ParAsyncDelayTRAN_asynDlyRptVIEW_Post-ParAsyncDelayReportTBIND_ParToPost-ParAbstractSimulationTRAN_postParSimModelVIEW_Post-ParAbstractSimulationTBIND_Post-ParAbstractToTBWPreSimulationTRAN_createPostParTestBenchTRAN_copyPost-ParAbstractToPreSimulationVIEW_TBWPost-ParPreSimulationTBIND_TBWPost-ParPreToSimulationModelSimTRAN_MSimulatePostPlace&RouteModel(bencher)VIEW_TBWPost-ParSimulationModelSimTBIND_Post-ParAbstractToPreSimulationVIEW_Post-ParPreSimulationTBIND_Post-ParPreToSimulationModelSimTRAN_MSimulatePostPlace&RouteModelVIEW_Post-ParSimulationModelSimTBIND_ParToMpprResultTRAN_copyMpprRsltVIEW_MpprResultTBIND_ParToLockedPinConstraintsTRAN_genLockedPinConstraintsVIEW_LockedPinConstraintsTBIND_ParToBackAnnoPinLocationsTRAN_backAnnoPinLocationsVIEW_BackAnnoPinLocationsTBINDEXT_ParToFPGAConfiguration_spartan3Default (NoWait)As RequiredJTAG ClockPull UpPull DownDefault (6)Enable Readback and ReconfigurationDefault (5)Default (4)0xFFFFFFFFTRANEXT_bitFile_spartan3VIEW_FPGAConfigurationTBIND_analyzeDesignUsingChipscopeTRAN_analyzeDesignUsingChipscopeVIEW_AnalyzedDesignTBIND_UpdateBitstreamXPSTRAN_xpsUpdBitstreamVIEW_UpdatedBitstreamTBIND_FPGAConfigurationToFPGAGeneratePROMTRAN_genImpactFileVIEW_FPGAGeneratePROMTBIND_FPGAConfigurationToFPGAConfigureTargetDeviceAuto - defaultDefaultTRAN_configureTargetDeviceVIEW_FPGAConfigureTargetDeviceTBIND_FPGAConfigurationToFPGAConfigureDeviceTRAN_impactProgrammingToolVIEW_FPGAConfigureDeviceTBIND_XSTAbstractToPreSynthesisTRAN_SubProjectAbstractToPreProxyTRAN_convertToHdlTRAN_copyAbstractToPreSynthesisForSynthesisVIEW_XSTAbstractSynthesisTBIND_InitialToXSTAbstractSynthesisTRAN_copyInitialToXSTAbstractSynthesisVIEW_InitialTBIND_InitialToAbstractSimulationTRAN_copyInitialToAbstractSimulationVIEW_AbstractSimulationTBIND_AbstractToPostAbstractSimulationTRAN_copyAbstractToPostAbstractSimulationVIEW_PostAbstractSimulationTBIND_PostAbstractToTBWPreSimulationTRAN_viewBehavioralTestbenchTRAN_copyPostAbstractToPreSimulationVIEW_TBWPreSimulationTBIND_TBWPreToBehavioralSimulationModelSimTRAN_MSimulateBehavioralModel(bencher)VIEW_TBWBehavioralSimulationModelSimTBIND_PostAbstractToPreSimulationVIEW_PreSimulationTBIND_PreToBehavioralSimulationModelSim93Modelsim-XE Verilog1000nsDefault (1 ps)TRAN_MSimulateBehavioralModelVIEW_BehavioralSimulationModelSimTBIND_PostAbstractToAnnotatedPreSimulationTRAN_viewBehavioralTestbenchForAnnoTRAN_copyPostAbstractToAnnotatedPreSimulationVIEW_AnnotatedPreSimulationTBIND_PreToAnnotatedResultsModelSimTRAN_MSimGenerateAnnotatedResultsTRAN_copyPreToAnnotatedResultsMSimForTBWVIEW_AnnotatedResultsModelSimTBIND_AnnotatedToGenerateExpectedSimulationResultsModelSimTRAN_MSimGenerateExpectedSimulationResultsVIEW_ExpectedSimulationResultsModelSimTBINDEXT_InitialToCommon_FPGATRANEXT_compLibraries_FPGAVIEW_CommonDESPF_TRADITIONALPROP_PreferredLanguagePROP_SimulatorModelsim-SE MixedOther MixedOther VerilogOther VHDLVCS-MXi MixedVCS-MXi VerilogVCS-MXi VHDLVCS-MX MixedVCS-MX VerilogVCS-MX VHDLNC-Sim MixedNC-Sim VerilogNC-Sim VHDLModelsim-XE VHDLModelsim-PE MixedModelsim-PE VerilogModelsim-PE VHDLModelsim-SE VerilogModelsim-SE VHDLISE Simulator (VHDL/Verilog)PROP_Synthesis_ToolPROP_Top_Level_Module_TypePROP_DevSpeed-5PROP_DevPackagePROP_DevDevicexc3s50xc3s5000xc3s4000lxc3s4000xc3s2000xc3s1500lxc3s1500xc3s1000lxc3s400xc3s200fg676fg456fg320PROP_ParSmartGuideFileNameDisplay_Controller_guide.ncdPROP_UseSmartGuidePROP_SynthTopNCD files (*.ncd)|*.ncdPROP_MapSmartGuideFileNamePROP_xstVeriIncludeDirPROP_PostSynthesisSimModelNameDisplay_Controller_synthesis.vPROP_SimModelTargetVHDLPROP_tbwPostParTestbenchNametest_mem_init.timesim_tfwPROP_tbwTestbenchTargetLangPROP_PostParSimTopModule|test_mem_initPROP_tbwPostMapTestbenchNametest_mem_init.map_tfwPROP_PostMapSimTopPROP_tbwPostXlateTestbenchNametest_mem_init.translate_tfwPROP_PostXlateSimTopPROP_PostParSimModelNameDisplay_Controller_timesim.vPROP_PostMapSimModelNameDisplay_Controller_map.vPROP_PostXlateSimModelNameDisplay_Controller_translate.vPROP_TopDesignUnitPROPEXT_xilxBitgCfg_DCIUpdateMode_spartan3PROPEXT_xilxBitgCfg_Rate_spartan3PROPEXT_xilxSynthAddBufg_spartan3PROP_xstDSPUtilRatioPROP_usedsp48PROPEXT_xilxSynthMaxFanout_virtex2PROPEXT_SynthMultStyle_virtex2PROP_xilxBitgCfg_GenOpt_MaskFile_virtex2PROP_xilxBitgCfg_GenOpt_ReadBack_virtex2PROP_bitgen_Encrypt_EncryptPROP_xilxBitgCfg_GenOpt_CompressPROP_xilxBitgCfg_GenOpt_LogicAllocFile_virtex2PROP_xilxBitgReadBk_GenBitStr_virtex2PROPEXT_xilxMapGenInputK_virtex2PROP_MapRegDuplicationPROP_xilxMapTimingDrivenPackingPROP_MapLogicOptimizationPROP_MapPlacerCostTablePROP_MapExtraEffortPROP_MapEffortLevelMediumHighContinue on ImpossiblePROP_bitgen_Encrypt_startCBCPROP_bitgen_Encrypt_startKeyPROP_bitgen_Encrypt_keySeq5PROP_bitgen_Encrypt_keySeq4PROP_bitgen_Encrypt_keySeq3PROP_bitgen_Encrypt_keySeq2PROP_bitgen_Encrypt_keySeq1PROP_bitgen_Encrypt_keySeq0PROP_bitgen_Encrypt_key5PROP_bitgen_Encrypt_key4PROP_bitgen_Encrypt_key3PROP_bitgen_Encrypt_key2PROP_bitgen_Encrypt_key1PROP_bitgen_Encrypt_key0PROP_xilxBitgStart_Clk_MatchCyclePROP_xilxBitgCfg_DCMBandgapPROP_xilxBitgCfg_DCMShutdownPROP_xilxBitgCfg_PwrDownPROP_xilxBitgCfg_GenOpt_EnableCRCPROP_xilxBitgCfg_GenOpt_IEEE1532FilePROPEXT_SynthFrequencySyn_virtex0.0PROP_VirtexSynthAutoConstrainPROP_MapPowerActivityFilePROP_MapPowerReductionSAIF Files (*.saif)|*.saifVCD files (*.vcd)|*.vcdPROP_xstUseSyncResetPROP_xstUseSyncSetPROP_xstUseClockEnablePROP_xilxSynthRegDuplicationPROP_xstOptimizeInsPrimtivesPROP_xstTristate2LogicPROP_xstSlicePackingPROP_xstPackIORegisterPROP_xstMoveLastFfStagePROP_xilxSynthRegBalancingPROP_xstMoveFirstFfStagePROP_SynthLogicalShifterExtractPROP_SynthShiftRegExtractPROP_SynthEncoderExtractPROP_SynthDecoderExtractPROP_SynthMuxStylePROP_SynthExtractMuxMUXCYMUXFPROP_xstROMStylePROP_SynthExtractROMBlockDistributedPROP_SynthRAMStylePROP_SynthExtractRAMPROP_xstFsmStylePROP_xstCrossClockAnalysisPROP_xstSliceUtilRatioPROP_xstWriteTimingConstraintsPROP_xstCoresSearchDirPROP_xstReadCoresPROP_xstAsynToSyncPROP_xstBRAMUtilRatioPROP_xstAutoBRAMPackingPROP_xilxSynthGlobOptPROP_CompxlibUpdateIniForSmartModelPROP_CompxlibSmartModelsPROP_CompxlibXlnxCoreLibPROP_impactConfigFileNamePROP_ImpactProjectFilePROP_AceActiveNamePROP_AutoGenFilePROP_primeTopLevelModulePROP_primeCorrelateOutputPROP_primeFlatternOutputNetlistPROP_primetimeBlockRamDataPROP_LoadPostTrceTSIFilePROP_PreTrceTSIFilePROP_xilxPostTrceTSIFilePROP_PostTrceGenDatasheetPROP_PostTrceGenTimegroupsPROP_PreTrceGenDatasheetPROP_PreTrceGenTimegroupsPROP_xilxPostTrceStampPROP_PostTrceFastPathPROP_xilxPostTrceEndpointPathPROP_xilxPostTrceUncovPathPROP_xilxPostTrceSpeedAbsolute MinPROP_xilxPostTrceAdvAnaPROP_xilxPostTrceRptLimitPROP_xilxPostTrceRptPROP_PreTrceFastPathPROP_xilxPreTrceEndpointPathPROP_xilxPreTrceUncovPathPROP_xilxPreTrceSpeedPROP_xilxPreTrceAdvAnaPROP_xilxPreTrceRptLimitPROP_xilxPreTrceRptVerbose ReportPROP_CurrentFloorplanFilePROP_xilxBitgCfg_GenOpt_MaskFilePROP_xilxBitgCfg_GenOpt_ReadBackPROP_xilxBitgCfg_GenOpt_LogicAllocFilePROP_xilxBitgReadBk_GenBitStrPROP_xilxBitgReadBk_SecPROP_xilxBitgStart_Clk_DriveDonePROP_xilxBitgStart_Clk_RelDLLPROP_xilxBitgStart_Clk_WrtEnPROP_xilxBitgStart_Clk_RelSetPROP_xilxBitgStart_Clk_EnOutPROP_xilxBitgStart_Clk_DonePROP_xilxBitgStart_IntDonePROP_xilxBitgStart_ClkPROP_xilxBitgCfg_CodePROP_xilxBitgCfg_UnusedPROP_xilxBitgCfg_TMSPROP_xilxBitgCfg_TDOPROP_xilxBitgCfg_TDIPROP_xilxBitgCfg_TCKPROP_xilxBitgCfg_DonePROP_xilxBitgCfg_PgmPROP_xilxBitgCfg_M2PROP_xilxBitgCfg_M1PROP_xilxBitgCfg_M0PROP_xilxBitgCfg_ClkPROP_bitgen_otherCmdLineOptionsPROP_xilxBitgCfg_GenOpt_GClkDel311111PROP_xilxBitgCfg_GenOpt_GClkDel2PROP_xilxBitgCfg_GenOpt_GClkDel1PROP_xilxBitgCfg_GenOpt_GClkDel0PROP_xilxBitgCfg_GenOpt_DbgBitStrPROP_xilxBitgCfg_GenOpt_ASCIIFilePROP_xilxBitgCfg_GenOpt_BinaryFilePROP_xilxBitgCfg_GenOpt_BitFilePROP_xilxBitgCfg_GenOpt_DRCPROP_parMpprNodelistFilePROP_xilxPARstratAll files (*)|*PROP_parMpprResultsDirectoryPROP_parMpprResultsToSavePROP_parMpprParIterationsPROP_mpprRsltToCopyPROP_mpprViewPadRptForSelRsltPROP_mpprViewPadRptsForAllRsltPROP_mpprViewParRptForSelRsltPROP_mpprViewParRptsForAllRsltPROP_par_otherCmdLineOptionsPROP_parPowerActivityFilePROP_parPowerReductionPROP_parGenSimModelPROP_parGenTimingRptPROP_parGenClkRegionRptPROP_parGenAsyDlyRptPROP_xilxPARuseBondedIOPROP_parTimingModePerformance EvaluationPROP_parIgnoreTimingConstraintsNon Timing DrivenPROP_parUseTimingConstraintsPROP_xilxPARplacerCostTablePROP_xilxPARextraEffortLevelPROP_xilxPARrouterEffortLevelPROP_xilxPARplacerEffortLevelPROP_xilxPAReffortLevelPROP_map_otherCmdLineOptionsPROP_MapEquivalentRegisterRemovalPROP_MapGlobalOptimizationPROP_MapRetimingPROP_xilxMapSliceLogicInUnusedBRAMsPROP_xilxTriStateBuffTXModePROP_xilxMapPackfactorPROP_xilxMapPackRegIntoPROP_mapUseRLOCConstraintsPROP_xilxMapReportDetailPROP_xilxMapCoverModePROP_xilxMapAllowLogicOptPROP_xilxMapReplicateLogicPROP_xilxMapTrimUnconnSigPROP_xilxNgdbldURPROP_xilxNgdbldUnexpBlksPROP_xilxNgdbldIOPadsPROP_xilxNgdbldNTTypePROP_ngdbuildUseLOCConstraintsPROP_parTimingAnalyzerLoadDesignPROP_mapTimingAnalyzerLoadDesignPROP_mapTimingModePROP_mapIgnoreTimingConstraintsPROP_xilxMapDisableRegOrderingPROP_xilxBitgCfg_GenOpt_IEEE1532File_xbrPROP_UseDataGatePROP_xcpldFitDesVoltLVCMOS18PROP_xcpldFitDesTriModeKeeperPROP_xcpldFitDesUnusedPROP_xcpldFitDesInputLmt_xbrPROP_xcpldFitDesInReg_xbrPROP_xcpldFitTemplate_xpla3Optimize DensityPROP_xcpldFitDesPtermLmt_xbrPROP_FunctionBlockInputLimitPROP_FitterOptimization_xpla3DensityPROP_ibiswriterGeneratePackageParasiticsPROP_CompxlibCPLDDetLibPROP_CompxlibAbelLibPROP_CompxlibUni9000LibPROP_CompxlibLangAllPROP_PlsClockEnablePROP_xilxSynthKeepHierarchy_CPLDPROP_xilxSynthXORPreservePROP_xilxSynthMacroPreservePROP_taengine_otherCmdLineOptionsPROP_xcpldFittimRptOptionSummaryPROP_hprep6_otherCmdLineOptionsPROP_hprep6_autosigPROP_xcpldUseGlobalSetResetPROP_xcpldUseGlobalOutputEnablesPROP_xcpldUseGlobalClocksPROP_xcpldFitDesSlewFastPROP_cpldfitHDLeqStyleSourcePROP_fitGenSimModelPROP_cpldfit_otherCmdLineOptionsPROP_xcpldFitDesMultiLogicOptPROP_cpldBestFitPROP_CPLDFitkeepioPROP_xcpldFitDesTimingCstPROP_xcpldFitDesInitLowPROP_xcpldUseLocConstAlwaysPROP_EnableWYSIWYGPROP_bitgen_Encrypt_keyFilePROP_SynthPipeliningPROP_SynthRetimingPROP_SynthModularPROP_Verilog2001PROP_SynthEnumEncodingdefaultPROP_SynthProcBoundPROP_ConstFileAddOptionPROP_ConstFileNamePROP_SynthFanoutPROP_SynthDisableIOInsertionPROP_WriteVendorConstFilePROP_WriteVHDLNetlistPROP_WriteVerilogNetlistPROP_SynthNumStartEndPointsPROP_SynthNumCriticalPathsPROP_SynthResourceSharingPROP_SynthSymbolicFsmPROP_SynthUseFsmExplorerDataPROP_Parse_Edif_ModulePROP_ToolPathLeonardoSpectrumPROP_PrecVhdlPROP_PrecVerilogPROP_PrecEdifPROP_PrecCreateUcfFromRtlConstraintsPROP_PrecOutputFileBasePROP_PrecRptMissingConstraintsPROP_PrecShowClockDomainCrossingPROP_PrecShowNetFanOutPROP_PrecRptTimingViolationsPROP_PrecNumOfCriticalPathsPROP_PrecRptCriticalPathsPROP_PrecNumOfSumPathsPROP_PrecRptTimingSummaryPROP_PrecRptclockFreqPROP_PrecRunRetimingPROP_PrecTranSetResetToLatchesPROP_PrecAddIOPadsPROP_PrecArrayBoundsCheckPROP_PrecParallelCasePROP_PrecFullCasePROP_PrecVhdlSyntaxVHDL 93PROP_PrecFsmEncodingPROP_PrecUseSafeFsmPROP_PrecAdvFsmOptimizationPROP_PrecResourceSharingPROP_PrecInputSdcFilePROP_lockPinsUcfFilePROP_Enable_Incremental_MessagingPROP_Enable_Message_FilteringPROP_Enable_Message_CapturePROP_ToolPathChipscopePROP_ToolPathPrecisionPROP_ToolPathSynplifyProPROP_ToolPathSynplifyPROP_ToolPathModelSimPROP_FitterReportFormatHTMLPROP_FlowDebugLevelPROP_UserConstraintEditorPreferenceConstraints EditorPROP_UserEditorCustomSettingPROP_UserEditorPreferenceISE Text EditorPROP_XplorerOtherCmdLineOptionsPROP_XplorerModePROP_XplorerWarnToBackupPROP_XplorerEnableRetimingPROP_XplorerNumIterationsPROP_XplorerRunTypePROP_SimModelAutoInsertGlblModuleInNetlistPROP_SimModelGenMultiHierFilePROP_SimModelRetainHierarchyPROP_netgenPostSynthesisSimModelNamePROP_SimModelIncUnisimInVerilogFilePROP_SimModelIncSimprimInVerilogFilePROP_xstSafeImplementPROP_SynthFsmEncodePROP_XPowerOtherXPowerOptsPROP_XPowerOptInputTclScriptPROP_XPowerOptLoadPCFFilePROP_XPowerOptLoadVCDFilePROP_XPowerOptOutputFilePROP_XPowerOptLoadXMLFilePROP_XPowerOptMaxNumberLinesPROP_XPowerOptVerboseRptPROP_XPowerOptAdvancedVerboseRptPROP_xstNetlistHierarchyPROP_xilxSynthKeepHierarchyPROP_xilxNgdbldMacroPROP_xilxNgdbld_AULPROP_SynthXORCollapsePROP_ngdbuild_otherCmdLineOptionsPROP_impactPortUSB 2USB 1LPT 3LPT 2LPT 1PROP_impactConfigModeDesktop ConfigurationSelect MAPSlave SerialBoundary ScanPROP_impactBaud5760038400192009600PROP_ibiswriterShowAllModelsPROP_DefaultTBNamePROP_ISimOtherCompilerOptions_parPROP_ISimOtherCompilerOptions_behavPROP_ISimCustomCompilationOrderFilePROP_ISimUseCustomCompilationOrderPROP_ISimLibSearchOrderFilePROP_ISimSpecifyDefMacroAndValueChkSyntaxPROP_isimSpecifyDefMacroAndValuePROP_ISimSpecifySearchDirectoryChkSyntaxPROP_isimSpecifySearchDirectoryPROP_isimValueRangeCheckPROP_ISimSDFTimingToBeReadSetup TimePROP_ISimVCDFileName_par_tbwxpower.vcdPROP_ISimGenVCDFile_par_tbwPROP_ISimUseCustomSimCmdFile_par_tbwPROP_ISimVCDFileName_par_tbPROP_ISimGenVCDFile_par_tbPROP_ISimUseCustomSimCmdFile_par_tbPROP_ISimSimulationRunTime_behav_tbw1000 nsPROP_ISimSimulationRun_behav_tbwPROP_ISimUseCustomSimCmdFile_behav_tbwPROP_ISimSimulationRunTime_behav_tbPROP_ISimSimulationRun_behav_tbPROP_ISimUseCustomSimCmdFile_behav_tbPROP_ISimSimulationRunTime_par_tbwPROP_ISimSimulationRun_par_tbwPROP_ISimSimulationRunTime_par_tbPROP_ISimSimulationRun_par_tbPROP_isimCompileForHdlDebugPROP_isimIncreCompilationPROP_ISimCustomSimCmdFileName_launchPROP_ISimUseCustomSimCmdFile_launchPROP_ISimCustomSimCmdFileName_gen_tbwPROP_ISimUseCustomSimCmdFile_gen_tbwPROP_ISimCustomSimCmdFileName_behav_tbwPROP_ISimCustomSimCmdFileName_behav_tbPROP_ISimCustomSimCmdFileName_par_tbwPROP_ISimCustomSimCmdFileName_par_tbPROP_ISimUutInstNameUUTPROP_xstEquivRegRemovalPROP_xilxSynthAddIObufPROP_SynthResSharingPROP_SynthCaseImplStylePROP_xstBusDelimiterPROP_xstHierarchySeparatorPROP_xstGenerateRTLNetlistPROP_xst_otherCmdLineOptionsPROP_xstVerilogMacrosPROP_xstGenericsParametersPROP_xstUserCompileListPROP_xstVerilog2001PROP_xstIniFilePROP_xstWorkDirPROP_xstCasePROP_xstLibSearchOrderPROP_xstUseSynthConstFilePROP_SynthConstraintsFileCST files (*.cst)|*.cstXCF files (*.xcf)|*.xcfPROP_SynthOptEffortPROP_SynthOptPROP_sysgenInstTempTargetLangPROP_xmpInstTempTargetLangPROP_CorgenRegenCorePROP_coregenFuncModelTargetLangPROP_bencherPostParTestbenchNamePROP_bencherPostMapTestbenchNamePROP_bencherPostXlateTestbenchNamePROP_xawHdlSourceTargetLangPROP_netgenPostParSimModelNamePROP_netgenPostMapSimModelNamePROP_netgenPostXlateSimModelNamePROP_SimModelNoEscapeSignalPROP_SimModelPathUsedInSdfAnnPROP_SimModelIncSdfAnnInVerilogFilePROP_SimModelIncUselibDirInVerilogFilePROP_SimModelRenTopLevModPROP_SimModelOtherNetgenOptsPROP_SimModelOutputExtIdentPROP_SimModelGenArchOnlyPROP_SimModelInsertBuffersPulseSwallowPROP_SimModelRenTopLevInstToPROP_SimModelGenerateTestbenchFilePROP_SimModelRenTopLevArchToStructurePROP_netgenRenameTopLevEntToPROP_SimModelRocPulseWidthPROP_SimModelBringOutGsrNetAsAPortPROP_SimModelGsrPortNameGSR_PORTPROP_SimModelTocPulseWidthPROP_SimModelBringOutGtsNetAsAPortPROP_SimModelGtsPortNameGTS_PORTPROP_ChangeDevSpeedPROP_XPORTlistInpFilesPROP_XPORTOutFileTypePROP_XPORTInpFileTypeABELPROP_XPORTInpFileNamePROP_CompxlibSimPrimativesPROP_CompxlibUniSimLibPROP_CompxlibOtherCompxlibOptsPROP_CompxlibOverwriteLibOverwritePROP_CompxlibSimPathC:/Modeltech_6.3b/win32PROP_CompxlibOutputDir$XILINX/<language>/<simulator>PROP_hdlInstTempTargetLangPROP_schInstTempTargetLangPROP_schFuncModelTargetLangPROP_OverwriteSymPROP_MSimSDFTimingToBeReadPROP_ModelSimConfigNamePROP_ModelSimUseConfigNamePROP_ModelSimSimRunTime_tbwPROP_SimDoPROP_SimCustom_postParPROP_SimUseCustom_postParDO files (*.do)|*.doPROP_SimCustom_postMapPROP_SimUseCustom_postMapPROP_SimCustom_postXlatePROP_SimUseCustom_postXlatePROP_SimUserCompileList_behavPROP_SimCustom_behavPROP_SimUseCustom_behavPROP_SimUserCompileList_launchMSimPROP_SimCustom_launchMSimPROP_SimUseCustom_launchMSimPROP_SimGenVcdFilePROP_ModelSimUutInstName_postFitPROP_ModelSimUutInstName_postParPROP_ModelSimUutInstName_postMapPROP_ModelSimSimRunTime_tbPROP_SimUseExpDeclOnlyPROP_SimSyntax87PROP_ModelSimSimRes100 sec10 sec1 sec100 ms10 ms1 ms100 us10 us1 us100 ns10 ns1 ns100 ps10 ps1 ps100 fs10 fs1 fsPROP_ModelSimDataWinPROP_ModelSimProcWinPROP_ModelSimVarsWinPROP_ModelSimListWinPROP_ModelSimSourceWinPROP_ModelSimStructWinPROP_ModelSimWaveWinPROP_ModelSimSignalWinPROP_vcom_otherCmdLineOptionsPROP_vlog_otherCmdLineOptionsPROP_vsim_otherCmdLineOptionsPROP_HdlTemplateNameDisplay_Controller.vPROP_HdlTemplateLangPROP_DesignNamePROP_UserBrowsedStrategyFilesPROP_LastUnlockStatusPROP_LastAppliedStrategyXilinx Default (unlocked)PROP_LastAppliedGoalBalancedPROP_PartitionForcePlacementPROP_PartitionForceTranslatePROP_PartitionForceSynthPROP_PartitionCreateDeletePROP_SmartGuideFileNamePROP_PostSynthSimTopPROP_PostFitSimTopPROP_BehavioralSimTopPROP_ProjectGeneratorTypeProjNavPROP_HierarchicalProjectTypeN/APROP_StopImpViewAbstractSynthesisPROP_StartImpViewPROP_SteCreatedBy
/ise/__OBJSTORE__/ProjectNavigator/__stored_objects__ Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
ise/__OBJSTORE__/ProjectNavigator/__stored_objects__ Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__ =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__ =================================================================== --- ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__ (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__ (revision 6)
ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__ Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl =================================================================== --- ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl (revision 6)
ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl =================================================================== --- ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl (revision 6)
ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap_StrTbl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main =================================================================== --- ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main (revision 6)
ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap =================================================================== --- ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap (revision 6)
ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/NameMap Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module (revision 6)
ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default (revision 6)
ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm_StrTbl =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm_StrTbl (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm_StrTbl (revision 6) @@ -0,0 +1 @@ +o
2008-11-22T16:30:30 color_fsm Unknown 2008-11-22T16:30:30
\ No newline at end of file Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory_StrTbl =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory_StrTbl (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory_StrTbl (revision 6) @@ -0,0 +1 @@ +o
2008-11-20T19:48:22 test_memory Unknown 2008-11-20T19:48:22
\ No newline at end of file Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller_StrTbl =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller_StrTbl (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller_StrTbl (revision 6) @@ -0,0 +1 @@ +o
2008-11-20T15:39:29 Display_Controller Unknown 2008-11-20T15:39:29
\ No newline at end of file Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm (revision 6)
ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-color_fsm Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl (revision 6)
ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl (revision 6) @@ -0,0 +1 @@ +o
Tue, 05 Sep 2006 12:00:00 PST Unknown Unknown
\ No newline at end of file Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory (revision 6)
ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-test_memory Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller =================================================================== --- ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller (nonexistent) +++ ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller (revision 6)
ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-Display_Controller Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl =================================================================== --- ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl (revision 6)
ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData =================================================================== --- ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData (nonexistent) +++ ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData (revision 6)
ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject =================================================================== --- ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject (nonexistent) +++ ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject (revision 6)
ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl =================================================================== --- ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl (nonexistent) +++ ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl (revision 6)
ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__ =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__ =================================================================== --- ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__ (nonexistent) +++ ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__ (revision 6)
ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__ Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl =================================================================== --- ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl (nonexistent) +++ ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl (revision 6) @@ -0,0 +1,98 @@ +namespace eval xilinx { +namespace eval Dpm { +proc GetIseVersion {} { + set fsetName "fileset.txt" + set fsetPath "" + # Find the file in the Xilinx environment. + # First, construct the environment path. + set sep ":"; # Default to UNIX style seperator. + if {[string compare -length 7 $::tcl_platform(platform) "windows"] == 0} { + set sep ";"; # Platform is a Windows variant, so use semi-colon. + } + set xilinxPath $::env(XILINX) + if [info exists ::env(MYXILINX)] then { + set xilinxPath [join [list $::env(MYXILINX) $xilinxPath] $sep] + } + # Now look in each path of the path until we find a match. + foreach xilElem [split $xilinxPath $sep] { + set checkPath ${xilElem}/$fsetName + set checkPath [ string map { \\ / } $checkPath ] + if { [file exists $checkPath] } { + set fsetPath $checkPath + break + } + } + if { [string equal $fsetPath ""] } { + puts "ERROR: Can not determine the ISE software version." + return "" + } + if { [catch { open $fsetPath r } fset] } { + puts "ERROR: Could not open $fsetPath: $fset" + return "" + } + # have the file open, scan for the version entry. + set sVersion "" + while { ![eof $fset] } { + set line [gets $fset] + regexp {version=(.*)} $line match sVersion + # The above doesn't stop looking in the file. This assumes that if + # there are multiple version entries, the last one is the one we want. + } + close $fset + return $sVersion +} +proc CheckForIron {project_name} { + + # Determine if the currently running version of ProjNav is earlier than Jade. + set version [GetIseVersion] + set dotLocation [string first "." $version] + set versionBase [string range $version 0 [expr {$dotLocation - 1}]] + if {$versionBase < 9} { + + # The project file is newer than Iron, so take action to prevent the + # file from being corrupted. + # Make the file read-only. + if {[string compare -length 7 $::tcl_platform(platform) "windows"]} { + # The above will return 0 for a match to "windows" or "windows64". + # This is the non-zero part of the if, for lin and sol. + # Change the permissions to turn off writability. + file attributes $project_name -permissions a-w + } else { + # On Windows, set file to read-only. + file attributes $project_name -readonly 1 + } + + # And tell the user about it. + set messageText "WARNING: This project was last saved with a newer version of Project Navigator.\nThe project file will be made read-only so that it will not be invalidated by this version." + # In the console window + puts $messageText + # And with a GUI message box if possible. + ::xilinx::Dpm::TOE::loadGuiLibraries + set iInterface 0 + set messageDisplay 0 + if {[catch { + set iInterface [Xilinx::CitP::GetInstance $::xilinx::GuiI::IMessageDlgID] + set messageDisplay [$iInterface GetInterface $::xilinx::GuiI::IMessageDlgID] + if {$messageDisplay != 0} { + # Managed to get a component to display a dialog, so use it + set messageTitle "Incompatible Project Version (Newer)" + set messageType 2 + # 2 corresponds to a warning dialog. TclWrapGuiI_Init.cpp doesn't put the enum into Tcl. + set messageTimeout 300000 + # in milliseconds, 5 minutes + set messageReturn [$messageDisplay MessageDlg $messageTitle $messageText $messageType 1 1 $messageTimeout "OK" "" ""] + } + } catchResult]} { + # There was an error, probably because we aren't in a GUI enviroment. + } else { + # All is well. + } + set messageDisplay 0 + set iInterface 0 + } + + return 1 +} +} +} +::xilinx::Dpm::CheckForIron \ No newline at end of file Index: ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl =================================================================== --- ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl (nonexistent) +++ ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl (revision 6)
ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: ise/__REGISTRY__/ProjectNavigator/regkeys =================================================================== --- ise/__REGISTRY__/ProjectNavigator/regkeys (nonexistent) +++ ise/__REGISTRY__/ProjectNavigator/regkeys (revision 6) @@ -0,0 +1,3 @@ +ISE_VERSION_LAST_SAVED_WITH +10.1 +s Index: ise/__REGISTRY__/cpldfit/regkeys =================================================================== --- ise/__REGISTRY__/cpldfit/regkeys (nonexistent) +++ ise/__REGISTRY__/cpldfit/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/cpldfit.xmsgs +s Index: ise/__REGISTRY__/vlogcomp/regkeys =================================================================== --- ise/__REGISTRY__/vlogcomp/regkeys (nonexistent) +++ ise/__REGISTRY__/vlogcomp/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/vlogcomp.xmsgs +s Index: ise/__REGISTRY__/ProjectNavigatorGui/regkeys =================================================================== Index: ise/__REGISTRY__/ngcbuild/regkeys =================================================================== --- ise/__REGISTRY__/ngcbuild/regkeys (nonexistent) +++ ise/__REGISTRY__/ngcbuild/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/ngcbuild.xmsgs +s Index: ise/__REGISTRY__/WebTalk/DesignDataCollection/regkeys =================================================================== --- ise/__REGISTRY__/WebTalk/DesignDataCollection/regkeys (nonexistent) +++ ise/__REGISTRY__/WebTalk/DesignDataCollection/regkeys (revision 6) @@ -0,0 +1,6 @@ +WebTalk-DataCollection-Project-ID +22216 +s +WebTalk-DataCollection-Send-Iteration +15 +s Index: ise/__REGISTRY__/WebTalk/regkeys =================================================================== Index: ise/__REGISTRY__/XSLTProcess/regkeys =================================================================== --- ise/__REGISTRY__/XSLTProcess/regkeys (nonexistent) +++ ise/__REGISTRY__/XSLTProcess/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/XSLTProcess.xmsgs +s Index: ise/__REGISTRY__/taengine/regkeys =================================================================== --- ise/__REGISTRY__/taengine/regkeys (nonexistent) +++ ise/__REGISTRY__/taengine/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/taengine.xmsgs +s Index: ise/__REGISTRY__/Autonym/regkeys =================================================================== Index: ise/__REGISTRY__/trce/regkeys =================================================================== --- ise/__REGISTRY__/trce/regkeys (nonexistent) +++ ise/__REGISTRY__/trce/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/trce.xmsgs +s Index: ise/__REGISTRY__/fuse/regkeys =================================================================== --- ise/__REGISTRY__/fuse/regkeys (nonexistent) +++ ise/__REGISTRY__/fuse/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/fuse.xmsgs +s Index: ise/__REGISTRY__/xreport/regkeys =================================================================== Index: ise/__REGISTRY__/hprep6/regkeys =================================================================== --- ise/__REGISTRY__/hprep6/regkeys (nonexistent) +++ ise/__REGISTRY__/hprep6/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/hprep6.xmsgs +s Index: ise/__REGISTRY__/Cs/regkeys =================================================================== Index: ise/__REGISTRY__/bitgen/regkeys =================================================================== --- ise/__REGISTRY__/bitgen/regkeys (nonexistent) +++ ise/__REGISTRY__/bitgen/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/bitgen.xmsgs +s Index: ise/__REGISTRY__/SrcCtrl/regkeys =================================================================== Index: ise/__REGISTRY__/tsim/regkeys =================================================================== --- ise/__REGISTRY__/tsim/regkeys (nonexistent) +++ ise/__REGISTRY__/tsim/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/tsim.xmsgs +s Index: ise/__REGISTRY__/map/regkeys =================================================================== --- ise/__REGISTRY__/map/regkeys (nonexistent) +++ ise/__REGISTRY__/map/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/map.xmsgs +s Index: ise/__REGISTRY__/idem/regkeys =================================================================== --- ise/__REGISTRY__/idem/regkeys (nonexistent) +++ ise/__REGISTRY__/idem/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/idem.xmsgs +s Index: ise/__REGISTRY__/netgen/regkeys =================================================================== --- ise/__REGISTRY__/netgen/regkeys (nonexistent) +++ ise/__REGISTRY__/netgen/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/netgen.xmsgs +s Index: ise/__REGISTRY__/ngc2edif/regkeys =================================================================== --- ise/__REGISTRY__/ngc2edif/regkeys (nonexistent) +++ ise/__REGISTRY__/ngc2edif/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/ngc2edif.xmsgs +s Index: ise/__REGISTRY__/par/regkeys =================================================================== --- ise/__REGISTRY__/par/regkeys (nonexistent) +++ ise/__REGISTRY__/par/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/par.xmsgs +s Index: ise/__REGISTRY__/dumpngdio/regkeys =================================================================== --- ise/__REGISTRY__/dumpngdio/regkeys (nonexistent) +++ ise/__REGISTRY__/dumpngdio/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/dumpngdio.xmsgs +s Index: ise/__REGISTRY__/common/regkeys =================================================================== --- ise/__REGISTRY__/common/regkeys (nonexistent) +++ ise/__REGISTRY__/common/regkeys (revision 6) @@ -0,0 +1,15 @@ +IncrementalMessagingEnabled +false +s +MessageCaptureEnabled +true +s +MessageFilterFile +filter.filter +s +MessageFilteringEnabled +false +s +RunOnce +#/PnAutoRun/Scripts/RunOnce_tcl +s Index: ise/__REGISTRY__/ngdbuild/regkeys =================================================================== --- ise/__REGISTRY__/ngdbuild/regkeys (nonexistent) +++ ise/__REGISTRY__/ngdbuild/regkeys (revision 6) @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/ngdbuild.xmsgs +s Index: ise/__REGISTRY__/_ProjRepoInternal_/regkeys =================================================================== --- ise/__REGISTRY__/_ProjRepoInternal_/regkeys (nonexistent) +++ ise/__REGISTRY__/_ProjRepoInternal_/regkeys (revision 6) @@ -0,0 +1,21 @@ +ISE_VERSION_CREATED_WITH +10.1 +s +ISE_VERSION_LAST_SAVED_WITH +10.1 +s +LastRepoDir +X:\Display_Controller\ +s +OBJSTORE_VERSION +1.3 +s +PROJECT_CREATION_TIMESTAMP +2008-11-19T17:05:52 +s +REGISTRY_VERSION +1.1 +s +REPOSITORY_VERSION +1.1 +s Index: ise/__REGISTRY__/STE/par/regkeys =================================================================== --- ise/__REGISTRY__/STE/par/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/par/regkeys (revision 6) @@ -0,0 +1,6 @@ +CommandLine +C:\Xilinx\10.1\ISE\bin\nt\unwrapped\par.exe -ise X:/Display_Controller/Display_Controller.ise -w -intstyle ise -ol std -t 1 Display_Controller_map.ncd Display_Controller.ncd Display_Controller.pcf +s +FormatString +par [-ol std|med|high] [-pl std|med|high] [-rl std|med|high] [-xe n|c] [-t ] [-p] [-k] [-r] [-w] [-smartguide ] [-n ] [-s ] [-m ] [-x] [-ub] [-nopad] [-power on|off] [-activityfile ] [-ntd] [-intstyle ise|xflow|silent] [-ise ] [--strategy use_placement|keep_placement|ignore_placement] [] +s Index: ise/__REGISTRY__/STE/bitgen/regkeys =================================================================== --- ise/__REGISTRY__/STE/bitgen/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/bitgen/regkeys (revision 6) @@ -0,0 +1,6 @@ +CommandLine +C:\Xilinx\10.1\ISE\bin\nt\unwrapped\bitgen.exe -ise X:/Display_Controller/Display_Controller.ise -intstyle ise -f Display_Controller.ut Display_Controller.ncd +s +FormatString +bitgen [-d] [-j] [-b] [-w] [-l] [-m] [-t] [-n] [-u] [-a] [--p] [-r ] [-intstyle ise|xflow|silent] [-ise ] {-bd [tag ]} {-g } [] [] +s Index: ise/__REGISTRY__/STE/ngdbuild/regkeys =================================================================== --- ise/__REGISTRY__/STE/ngdbuild/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/ngdbuild/regkeys (revision 6) @@ -0,0 +1,6 @@ +CommandLine +C:\Xilinx\10.1\ISE\bin\nt\unwrapped\ngdbuild.exe -ise X:/Display_Controller/Display_Controller.ise -intstyle ise -dd _ngo -nt timestamp -i -p xc3s1000-ft256-4 Display_Controller.ngc Display_Controller.ngd +s +FormatString +ngdbuild [-p ] {-sd } {-l } [-ur ] [-dd ] [-r] [-a] [-u] [-nt timestamp|on|off] [-uc ] [-aul] [-bm ] [-i] [-modular initial|module|assemble] [-intstyle ise|xflow|silent] [-quiet] [-verbose] [-active ] [-pimpath ] {-use_pim } [-insert_keep_hierarchy] [--forcengd] {--n } {--sl } [--global_opt] [--script ] [--incremental] [--csttrans] [] +s Index: ise/__REGISTRY__/STE/regkeys =================================================================== --- ise/__REGISTRY__/STE/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/regkeys (revision 6) @@ -0,0 +1,6 @@ +MostRecentClient +bitgen +s +SteInfoVersion +0.0 +s Index: ise/__REGISTRY__/STE/map/regkeys =================================================================== --- ise/__REGISTRY__/STE/map/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/map/regkeys (revision 6) @@ -0,0 +1,6 @@ +CommandLine +C:\Xilinx\10.1\ISE\bin\nt\unwrapped\map.exe -ise X:/Display_Controller/Display_Controller.ise -intstyle ise -p xc3s1000-ft256-4 -cm area -pr off -k 4 -c 100 -o Display_Controller_map.ncd Display_Controller.ngd Display_Controller.pcf +s +FormatString +map [-bp] [-c []] [-cm ] [-detail] [-equivalent_register_removal on|off] [-global_opt off|&speed|&area|on] [-ignore_keep_hierarchy] [-intstyle ise|xflow|silent] [-ir] [-ise ] [-k 4|5|6|7|8] [-l] [-lc off|area|auto] [-logic_opt off|on] [-ntd] [-o ] [-ol std|med|high] [-p ] [-power off|on] [-activityfile ] [-pr off|i|o|b] [-r] [-register_duplication [off|on]] [-retiming off|on] [-smartguide ] [-t ] [-timing] [-tx on|off|aggressive|limit] [-u] [-w] [-x] [-xe c|n] [--ds ] [--hv] [--lambda ] [--m] [--ms ] [--physical_synthesis off|on] [--smartsynthesis ] [--ts_comb ] [--ts_cy ] [--ts_load ] [--ts_trigger ] [--use_soft_locs] [--global_opt_script ] [] +s Index: ise/__REGISTRY__/STE/trce/regkeys =================================================================== --- ise/__REGISTRY__/STE/trce/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/trce/regkeys (revision 6) @@ -0,0 +1,6 @@ +CommandLine +C:/Xilinx/10.1/ISE/bin/nt/unwrapped/trce.exe -ise X:/Display_Controller/Display_Controller.ise -intstyle ise -e 3 -s 4 -xml Display_Controller Display_Controller.ncd -o Display_Controller.twr Display_Controller.pcf -ucf vga_pins.ucf +s +FormatString +trce.exe ([-e|-v []] [-l ] [-n []] [-u []] [-skew] [-a] [--p] [-s ] [-o ] [--m] [-stamp ] [-tsi ] [-xml ] [-nodatasheet] [-timegroups] [-fastpaths] [-intstyle ise|xflow|silent] [-ise ] [--ucf ] []) | ([-run [ []]] [-intstyle ise|xflow|silent] [-ise ]) +s Index: ise/__REGISTRY__/STE/xst/regkeys =================================================================== --- ise/__REGISTRY__/STE/xst/regkeys (nonexistent) +++ ise/__REGISTRY__/STE/xst/regkeys (revision 6) @@ -0,0 +1,6 @@ +CommandLine +C:\Xilinx\10.1\ISE\bin\nt\unwrapped\xst.exe -ise X:/Display_Controller/Display_Controller.ise -intstyle ise -ifn X:/Display_Controller/Display_Controller.xst -ofn X:/Display_Controller/Display_Controller.syr -finalclean 1 +s +FormatString +xst [-ifn ] [-ofn ] [-ise ] [--quiet] [-intstyle