OpenCores
URL https://opencores.org/ocsvn/bu_pacman/bu_pacman/trunk

Subversion Repositories bu_pacman

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /bu_pacman/tags/arelease/xst
    from Rev 4 to Rev 6
    Reverse comparison

Rev 4 → Rev 6

/dump.xst/test_memory.prj/ntrc.scr
0,0 → 1,3
set -xsthdpdir X:/Display_Controller/xst\
set -checkcmdline no
run -ifn test_memory.prj -ifmt mixed -ofn test_memory -ofmt NGC -p xc3s200-4-ft256 -top test_memory -opt_mode Speed -opt_level 1 -iuc NO -lso test_memory.lso -keep_hierarchy NO -netlist_hierarchy as_optimized -rtlview Yes -glob_opt AllClockNets -read_cores YES -write_timing_constraints NO -cross_clock_analysis NO -hierarchy_separator / -bus_delimiter <> -case maintain -slice_utilization_ratio 100 -bram_utilization_ratio 100 -verilog2001 YES -fsm_extract YES -fsm_encoding Auto -safe_implementation No -fsm_style lut -ram_extract Yes -ram_style Auto -rom_extract Yes -mux_style Auto -decoder_extract YES -priority_extract YES -shreg_extract YES -shift_extract YES -xor_collapse YES -rom_style Auto -auto_bram_packing NO -mux_extract YES -resource_sharing YES -async_to_sync NO -mult_style auto -iobuf YES -max_fanout 500 -bufg 8 -register_duplication YES -register_balancing No -slice_packing YES -optimize_primitives NO -use_clock_enable Yes -use_sync_set Yes -use_sync_reset Yes -iob auto -equivalent_register_removal YES -slice_utilization_ratio_maxmargin 5 -crit Speed -opt_level_power 1 -mapstyle lut -fsm_encoding Auto -t XILINX -addsub_extract yes
/dump.xst/Display_Controller.prj/ntrc.scr
0,0 → 1,3
set -xsthdpdir X:/Display_Controller/xst\
set -checkcmdline no
run -ifn Display_Controller.prj -ifmt mixed -ofn Display_Controller -ofmt NGC -p xc3s1000-4-ft256 -top Display_Controller -opt_mode Speed -opt_level 1 -iuc NO -lso Display_Controller.lso -keep_hierarchy NO -netlist_hierarchy as_optimized -rtlview Yes -glob_opt AllClockNets -read_cores YES -write_timing_constraints NO -cross_clock_analysis NO -hierarchy_separator / -bus_delimiter <> -case maintain -slice_utilization_ratio 100 -bram_utilization_ratio 100 -verilog2001 YES -fsm_extract YES -fsm_encoding Auto -safe_implementation No -fsm_style lut -ram_extract Yes -ram_style Auto -rom_extract Yes -mux_style Auto -decoder_extract YES -priority_extract YES -shreg_extract YES -shift_extract YES -xor_collapse YES -rom_style Auto -auto_bram_packing NO -mux_extract YES -resource_sharing YES -async_to_sync NO -mult_style auto -iobuf YES -max_fanout 500 -bufg 8 -register_duplication YES -register_balancing No -slice_packing YES -optimize_primitives NO -use_clock_enable Yes -use_sync_set Yes -use_sync_reset Yes -iob auto -equivalent_register_removal YES -slice_utilization_ratio_maxmargin 5 -crit Speed -opt_level_power 1 -mapstyle lut -fsm_encoding Auto -t XILINX -addsub_extract yes
/work/vlg3D/vga__controller.bin Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
work/vlg3D/vga__controller.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg61/blk__mem__gen__v2__7.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg61/blk__mem__gen__v2__7.bin =================================================================== --- work/vlg61/blk__mem__gen__v2__7.bin (nonexistent) +++ work/vlg61/blk__mem__gen__v2__7.bin (revision 6)
work/vlg61/blk__mem__gen__v2__7.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg61/_display___controller.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg61/_display___controller.bin =================================================================== --- work/vlg61/_display___controller.bin (nonexistent) +++ work/vlg61/_display___controller.bin (revision 6)
work/vlg61/_display___controller.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg70/clk__divider.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg70/clk__divider.bin =================================================================== --- work/vlg70/clk__divider.bin (nonexistent) +++ work/vlg70/clk__divider.bin (revision 6)
work/vlg70/clk__divider.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg7B/vga__display.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg7B/vga__display.bin =================================================================== --- work/vlg7B/vga__display.bin (nonexistent) +++ work/vlg7B/vga__display.bin (revision 6)
work/vlg7B/vga__display.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg64/test__memory.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg64/test__memory.bin =================================================================== --- work/vlg64/test__memory.bin (nonexistent) +++ work/vlg64/test__memory.bin (revision 6)
work/vlg64/test__memory.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/hdllib.ref =================================================================== --- work/hdllib.ref (nonexistent) +++ work/hdllib.ref (revision 6) @@ -0,0 +1,10 @@ +MO generate_add NULL generate_add.v vlg13/generate__add.bin 1227492693 +MO vga_display NULL vga_display.v vlg7B/vga__display.bin 1227492693 +MO clk_divider NULL clock_divider.v vlg70/clk__divider.bin 1227492693 +MO vga_controller NULL vga_controller.v vlg3D/vga__controller.bin 1227492693 +MO Display_Controller NULL Display_Controller.v vlg61/_display___controller.bin 1227492693 +MO buffer_machine NULL buffer_machine.v vlg42/buffer__machine.bin 1227237299 +MO color_fsm NULL color_fsm.v vlg24/color__fsm.bin 1227492693 +MO fifo_generator_v4_3 NULL ./fifo_generator_v4_3.v vlg2D/fifo__generator__v4__3.bin 1227492693 +MO test_memory NULL test_memory.v vlg64/test__memory.bin 1227233170 +MO blk_mem_gen_v2_7 NULL blk_mem_gen_v2_7.v vlg61/blk__mem__gen__v2__7.bin 1227233170 Index: work/vlg13/generate__add.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg13/generate__add.bin =================================================================== --- work/vlg13/generate__add.bin (nonexistent) +++ work/vlg13/generate__add.bin (revision 6)
work/vlg13/generate__add.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg24/color__fsm.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg24/color__fsm.bin =================================================================== --- work/vlg24/color__fsm.bin (nonexistent) +++ work/vlg24/color__fsm.bin (revision 6)
work/vlg24/color__fsm.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg2D/fifo__generator__v4__3.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg2D/fifo__generator__v4__3.bin =================================================================== --- work/vlg2D/fifo__generator__v4__3.bin (nonexistent) +++ work/vlg2D/fifo__generator__v4__3.bin (revision 6)
work/vlg2D/fifo__generator__v4__3.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: work/vlg42/buffer__machine.bin =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: work/vlg42/buffer__machine.bin =================================================================== --- work/vlg42/buffer__machine.bin (nonexistent) +++ work/vlg42/buffer__machine.bin (revision 6)
work/vlg42/buffer__machine.bin Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.