OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /bustap-jtag/trunk/sim/altera
    from Rev 9 to Rev 10
    Reverse comparison

Rev 9 → Rev 10

/jtag_sim_define.h File deleted
/virtual_jtag_stimulus.tcl
380,6 → 380,7
set trig_sim_num [expr $trig_sim_num+1]
set trig_sim_len [expr $trig_sim_len+2]
$log delete 1.0 end
$log insert end "`define USE_SIM_STIMULUS\n\n"
$log insert end "`define FIFO_SLD_SIM_ACTION $fifo_sim_act\n"
$log insert end "`define FIFO_SLD_SIM_N_SCAN $fifo_sim_num\n"
$log insert end "`define FIFO_SLD_SIM_T_LENG $fifo_sim_len\n\n"
390,7 → 391,7
$log insert end "`define TRIG_SLD_SIM_N_SCAN $trig_sim_num\n"
$log insert end "`define TRIG_SLD_SIM_T_LENG $trig_sim_len\n\n"
 
set fileId [open jtag_sim_define.h w]
set fileId [open ../../rtl/altera/jtag_sim_define.h w]
puts $fileId [$log get 1.0 end]
close $fileId
}
/sim.do
11,9 → 11,9
 
# compile altera virtual jtag files
source virtual_jtag_stimulus.tcl
vlog -work work ../../rtl/altera/virtual_jtag_adda_fifo.v
vlog -work work ../../rtl/altera/virtual_jtag_adda_trig.v
vlog -work work ../../rtl/altera/virtual_jtag_addr_mask.v
vlog -work work ../../rtl/altera/virtual_jtag_adda_fifo.v +incdir+../../rtl/altera
vlog -work work ../../rtl/altera/virtual_jtag_adda_trig.v +incdir+../../rtl/altera
vlog -work work ../../rtl/altera/virtual_jtag_addr_mask.v +incdir+../../rtl/altera
vlog -work work altera_mf.v
 
# compile testbench files
35,7 → 35,7
up_bfm_sv.obj -L $::env(MODEL_TECH) -lmtipli
 
# compile jtag bfms files
vlog -work work -sv jtag_bfm_sv.v
vlog -work work -sv jtag_bfm_sv.v +incdir+../../rtl/altera
 
vsim -novopt \
-sv_lib up_bfm_c \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.