OpenCores
URL https://opencores.org/ocsvn/copyblaze/copyblaze/trunk

Subversion Repositories copyblaze

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /copyblaze/trunk/copyblaze/rtl
    from Rev 3 to Rev 6
    Reverse comparison

Rev 3 → Rev 6

/vhdl/cp_copyBlaze_ecoSystem.vhd
73,7 → 73,7
--------------------------------------------------------------------------------
-- Signaux Wishbone Interface
--------------------------------------------------------------------------------
RST_I : in std_ulogic;
-- RST_I : in std_ulogic;
-- CLK_I : in std_ulogic;
ADR_O : out std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
84,10 → 84,7
STB_O : out std_ulogic;
ACK_I : in std_ulogic;
CYC_O : out std_ulogic;
TAGN_O : out std_ulogic_vector(1 downto 0);
TAGN_I : in std_ulogic_vector(1 downto 0)
CYC_O : out std_ulogic
);
end cp_copyBlaze_ecoSystem;
 
147,7 → 144,7
--------------------------------------------------------------------------------
-- Signaux Wishbone Interface
--------------------------------------------------------------------------------
RST_I : in std_ulogic;
--RST_I : in std_ulogic;
--CLK_I : in std_ulogic;
ADR_O : out std_ulogic_vector(GEN_WIDTH_DATA-1 downto 0);
158,10 → 155,7
STB_O : out std_ulogic;
ACK_I : in std_ulogic;
CYC_O : out std_ulogic;
TAGN_O : out std_ulogic_vector(1 downto 0);
TAGN_I : in std_ulogic_vector(1 downto 0)
CYC_O : out std_ulogic
);
end component;
 
234,7 → 228,7
--------------------------------------------------------------------------------
-- Signaux Wishbone Interface
--------------------------------------------------------------------------------
RST_I => RST_I,
--RST_I => RST_I,
--CLK_I => CLK_I,
 
ADR_O => ADR_O,
245,10 → 239,7
 
STB_O => STB_O,
ACK_I => ACK_I,
CYC_O => CYC_O,
 
TAGN_O => TAGN_O,
TAGN_I => TAGN_I
CYC_O => CYC_O
);
 
-- *************** --

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.