URL
https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk
Subversion Repositories cpu6502_true_cycle
Compare Revisions
- This comparison shows the changes necessary to convert path
/cpu6502_true_cycle/trunk/doc
- from Rev 18 to Rev 22
- ↔ Reverse comparison
Rev 18 → Rev 22
HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame1.htm (nonexistent)
@@ -1,94 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--clk_clk_i : std_logic -d_i : std_logic_vector(7 DOWNTO 0) -so_n_i : std_logic -rdy_i : std_logic -irq_n_i : std_logic -nmi_n_i : std_logic -rst_rst_n_i : std_logic -a_o : std_logic_vector(15 DOWNTO 0) -d_o : std_logic_vector(7 DOWNTO 0) -rd_o : std_logic -wr_o : std_logic -wr_n_o : std_logic -sync_o : std_logic-
Diagram Signals:
---
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all;-
Bundles
-
HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,80 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--clk_clk_i : in std_logic ; -d_i : in std_logic_vector (7 DOWNTO 0) ; -irq_n_i : in std_logic ; -nmi_n_i : in std_logic ; -rdy_i : in std_logic ; -rst_rst_n_i : in std_logic ; -so_n_i : in std_logic ; -a_o : out std_logic_vector (15 DOWNTO 0) ; -d_o : out std_logic_vector (7 DOWNTO 0) ; -rd_o : out std_logic ; -sync_o : out std_logic ; -wr_n_o : out std_logic ; -wr_o : out std_logic-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@r6502_@t@c/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@r6502_@t@c/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@r6502_@t@c/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\R6502_TC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@r6502_@t@c/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdContentFrame0.htm (nonexistent)
@@ -1,191 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdContentFrame1.htm (nonexistent)
@@ -1,134 +0,0 @@
-
-
-
-
- R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--clk_clk_i : std_logic -d_i : std_logic_vector(7 DOWNTO 0) -rdy_i : std_logic -irq_n_i : std_logic -so_n_i : std_logic -a_o : std_logic_vector(15 DOWNTO 0) -d_o : std_logic_vector(7 DOWNTO 0) -rd_o : std_logic -wr_o : std_logic -wr_n_o : std_logic -sync_o : std_logic -rst_rst_n_i : std_logic -nmi_n_i : std_logic-
Diagram Signals:
--signal adr_o_i : std_logic_vector(15 DOWNTO 0) -signal offset_o_i : std_logic_vector(15 DOWNTO 0) -signal ch_a_o_i : std_logic_vector(7 DOWNTO 0) -signal ch_b_o_i : std_logic_vector(7 DOWNTO 0) -signal reg_0flag_core_o_i : std_logic -signal reg_3flag_core_o_i : std_logic -signal reg_7flag_core_o_i : std_logic -signal adr_sp_o_i : std_logic_vector(15 DOWNTO 0) -signal adr_pc_o_i : std_logic_vector(15 DOWNTO 0) -signal load_regs_o_i : std_logic -signal ld_o_i : std_logic_vector(1 DOWNTO 0) -signal reg_0flag_o_i : std_logic -signal reg_1flag_o_i : std_logic -signal reg_6flag_o_i : std_logic -signal reg_7flag_o_i : std_logic -signal d_regs_out_o_i : std_logic_vector(7 DOWNTO 0) -signal d_alu_o_i : std_logic_vector(7 DOWNTO 0) -signal cout_pc_o_i : std_logic -signal sel_reg_o_i : std_logic_vector(1 DOWNTO 0) -signal ld_pc_o_i : std_logic -signal sel_alu_out_o_i : std_logic_vector(2 DOWNTO 0) -signal sel_rb_out_o_i : std_logic_vector(2 DOWNTO 0) -signal sel_rb_in_o_i : std_logic_vector(2 DOWNTO 0) -signal sel_pc_in_o_i : std_logic_vector(1 DOWNTO 0) -signal ld_sp_o_i : std_logic -signal sel_sp_in_o_i : std_logic_vector(1 DOWNTO 0) -signal sel_sp_val_o_i : std_logic_vector(1 DOWNTO 0) -signal sel_pc_val_o_i : std_logic_vector(1 DOWNTO 0) -signal sel_sp_as_o_i : std_logic -signal sel_pc_as_o_i : std_logic -signal sel_alu_as_o_i : std_logic -signal adr_nxt_pc_o_i : std_logic_vector(15 DOWNTO 0) -signal adr_nxt_sp_o_i : std_logic_vector(15 DOWNTO 0) -signal q_a_o_i : std_logic_vector(7 DOWNTO 0) -signal q_x_o_i : std_logic_vector(7 DOWNTO 0) -signal q_y_o_i : std_logic_vector(7 DOWNTO 0) -signal rst_rst_int_o_i : std_logic -signal d_regs_in_o_i : std_logic_vector(7 DOWNTO 0) -signal nmi_o_i : std_logic -signal fetch_o_i : std_logic-
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;-
Bundles
-
HTML/R6502_TC/@core/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,80 +0,0 @@
-
-
-
-
- R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--clk_clk_i : in std_logic ; -d_i : in std_logic_vector (7 DOWNTO 0) ; -irq_n_i : in std_logic ; -nmi_i : in std_logic ; -rdy_i : in std_logic ; -rst_rst_n_i : in std_logic ; -so_n_i : in std_logic ; -a_o : out std_logic_vector (15 DOWNTO 0) ; -d_o : out std_logic_vector (7 DOWNTO 0) ; -rd_o : out std_logic ; -sync_o : out std_logic ; -wr_n_o : out std_logic ; -wr_o : out std_logic-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@core/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@core/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@core/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@core/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@core/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@core/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@core/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@core/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@core/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@core/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@core/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Core\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@core/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@core/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@core/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Core\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@core/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame0.htm (nonexistent)
@@ -1,186 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame1.htm (nonexistent)
@@ -1,101 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--clk_clk_i : std_logic -rst_rst_i : std_logic -d_regs_in_i : std_logic_vector(7 DOWNTO 0) -sel_rb_in_i : std_logic_vector(2 DOWNTO 0) -sel_rb_out_i : std_logic_vector(1 DOWNTO 0) -load_regs_i : std_logic -q_a_o : std_logic_vector(7 DOWNTO 0) -q_x_o : std_logic_vector(7 DOWNTO 0) -q_y_o : std_logic_vector(7 DOWNTO 0) -d_regs_out_o : std_logic_vector(7 DOWNTO 0) -sel_reg_i : std_logic_vector(1 DOWNTO 0)-
Diagram Signals:
--signal dout : std_logic_vector(7 DOWNTO 0) -signal ld : std_logic_vector(3 DOWNTO 0) -signal load : std_logic -signal load1 : std_logic -signal load2 : std_logic -signal load3 : std_logic -signal q_zw : std_logic_vector(7 DOWNTO 0) -signal val_zero : std_logic_vector(7 DOWNTO 0) -signal dout1 : std_logic_vector(7 downto 0)-
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;-
Bundles
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,78 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--clk_clk_i : in std_logic ; -d_regs_in_i : in std_logic_vector (7 DOWNTO 0) ; -load_regs_i : in std_logic ; -rst_rst_i : in std_logic ; -sel_rb_in_i : in std_logic_vector (2 DOWNTO 0) ; -sel_rb_out_i : in std_logic_vector (1 DOWNTO 0) ; -sel_reg_i : in std_logic_vector (1 DOWNTO 0) ; -d_regs_out_o : out std_logic_vector (7 DOWNTO 0) ; -q_a_o : out std_logic_vector (7 DOWNTO 0) ; -q_x_o : out std_logic_vector (7 DOWNTO 0) ; -q_y_o : out std_logic_vector (7 DOWNTO 0)-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame0.htm (nonexistent)
@@ -1,21 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -val_zero (7 downto 0) <= X"00";- -
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bd@072eb1ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\RegBank_AXY\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg@bank_@a@x@y/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdContentFrame0.htm (nonexistent)
@@ -1,186 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdContentFrame1.htm (nonexistent)
@@ -1,96 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--A : std_logic_vector(15 DOWNTO 0) -NMIn : std_logic -clk : std_logic -RSTn : std_logic -RDY : std_logic -IRQn : std_logic -so_n_i : std_logic -RAM_DOUT : std_logic_vector(7 DOWNTO 0) -CPU_DOUT : std_logic_vector( 7 DOWNTO 0 ) -WR : std_logic -RD : std_logic -SYNC : std_logic -clk1 : std_logic-
Diagram Signals:
--signal dout : std_logic_vector(7 DOWNTO 0) -signal dout1 : std_logic_vector(7 DOWNTO 0)-
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;-
Bundles
-
HTML/R6502_TC/@testbench/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,80 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--IRQn : in std_logic ; -NMIn : in std_logic ; -RDY : in std_logic ; -RSTn : in std_logic ; -clk : in std_logic ; -clk1 : in std_logic ; -so_n_i : in std_logic ; -A : out std_logic_vector (15 DOWNTO 0) ; -CPU_DOUT : out std_logic_vector ( 7 DOWNTO 0 ) ; -RAM_DOUT : out std_logic_vector (7 DOWNTO 0) ; -RD : out std_logic ; -SYNC : out std_logic ; -WR : out std_logic-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@testbench/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@testbench/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@testbench/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@testbench/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@testbench/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@testbench/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@testbench/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@testbench/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@testbench/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@testbench/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@testbench/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Testbench\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@testbench/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame0.htm (nonexistent)
@@ -1,187 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame1.htm (nonexistent)
@@ -1,100 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--clk_clk_i : std_logic -rst_rst_i : std_logic -sel_sp_in_i : std_logic -ld_i : std_logic_vector(1 DOWNTO 0) -adr_i : std_logic_vector(15 DOWNTO 0) -adr_sp_o : std_logic_vector(15 DOWNTO 0) -sel_sp_val_i : std_logic -sel_sp_as_i : std_logic -ld_sp_i : std_logic -adr_nxt_sp_o : std_logic_vector(15 DOWNTO 0)-
Diagram Signals:
--signal load : std_logic -signal val_zero : std_logic_vector(7 DOWNTO 0) -signal val_one : std_logic_vector(7 DOWNTO 0) -signal val_two : std_logic_vector(7 DOWNTO 0) -signal dout1 : std_logic_vector(7 downto 0) -signal dout2 : std_logic_vector(7 DOWNTO 0) -signal dout3 : std_logic -signal load3 : std_logic -signal d : std_logic_vector(7 downto 0)-
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;-
Bundles
-
HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,77 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--adr_i : in std_logic_vector (15 DOWNTO 0) ; -clk_clk_i : in std_logic ; -ld_i : in std_logic_vector (1 DOWNTO 0) ; -ld_sp_i : in std_logic ; -rst_rst_i : in std_logic ; -sel_sp_as_i : in std_logic ; -sel_sp_in_i : in std_logic ; -sel_sp_val_i : in std_logic ; -adr_nxt_sp_o : out std_logic_vector (15 DOWNTO 0) ; -adr_sp_o : out std_logic_vector (15 DOWNTO 0)-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@reg_@s@p/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@reg_@s@p/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@reg_@s@p/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@reg_@s@p/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame0.htm (nonexistent)
@@ -1,24 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -val_zero (7 downto 0) <= X"00"; -val_one (7 downto 0) <= X"01"; -val_two (7 downto 0) <= X"02"; -adr_nxt_sp_o (15 downto 8) <= X"01";- -
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame0.htm (nonexistent)
@@ -1,21 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -adr_nxt_sp_o (7 DOWNTO 0) <= d;- -
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb2ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bd@072eb3ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_SP\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@s@p/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,79 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--adr_i : in std_logic_vector (15 DOWNTO 0) ; -clk_clk_i : in std_logic ; -ld_i : in std_logic_vector (1 DOWNTO 0) ; -ld_pc_i : in std_logic ; -offset_i : in std_logic_vector (15 DOWNTO 0) ; -rst_rst_i : in std_logic ; -sel_pc_as_i : in std_logic ; -sel_pc_in_i : in std_logic ; -sel_pc_val_i : in std_logic_vector (1 DOWNTO 0) ; -adr_nxt_pc_o : out std_logic_vector (15 DOWNTO 0) ; -adr_pc_o : out std_logic_vector (15 DOWNTO 0) ; -cout_pc_o : out std_logic-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@reg_@p@c/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@reg_@p@c/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame0.htm (nonexistent)
@@ -1,23 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -val_zero (7 downto 0) <= X"00"; -val_one (7 downto 0) <= X"01"; -val_two (7 downto 0) <= X"02";- -
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\Reg_PC\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame0.htm (nonexistent)
@@ -1,188 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame1.htm (nonexistent)
@@ -1,105 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--clk_clk_i : std_logic -rst_rst_i : std_logic -sel_pc_in_i : std_logic -ld_i : std_logic_vector(1 DOWNTO 0) -adr_i : std_logic_vector(15 DOWNTO 0) -adr_pc_o : std_logic_vector(15 DOWNTO 0) -sel_pc_val_i : std_logic_vector(1 DOWNTO 0) -sel_pc_as_i : std_logic -cout_pc_o : std_logic -offset_i : std_logic_vector(15 DOWNTO 0) -ld_pc_i : std_logic -adr_nxt_pc_o : std_logic_vector(15 DOWNTO 0)-
Diagram Signals:
--signal load : std_logic -signal val_zero : std_logic_vector(7 DOWNTO 0) -signal val_one : std_logic_vector(7 DOWNTO 0) -signal val_two : std_logic_vector(7 DOWNTO 0) -signal dout1 : std_logic_vector(7 downto 0) -signal dout3 : std_logic -signal load3 : std_logic -signal d : std_logic_vector(7 downto 0) -signal d1 : std_logic_vector(7 downto 0) -signal dout : std_logic -signal dout5 : std_logic_vector(7 downto 0) -signal dout6 : std_logic_vector(7 downto 0)-
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;-
Bundles
-
HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@reg_@p@c/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@reg_@p@c/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\Reg_PC\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb2ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame0.htm (nonexistent)
@@ -1,21 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -adr_nxt_pc_o(7 DOWNTO 0) <= d;- -
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb1
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb1ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame0.htm (nonexistent)
@@ -1,21 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -adr_nxt_pc_o(15 DOWNTO 8) <= d1;- -
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@reg_@p@c/struct_bd@072eb3ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame0.htm (nonexistent)
@@ -1,25 +0,0 @@
-
-
-
-
-
-
-
-
-
-
--- eb1 1 -val_zero (7 downto 0) <= X"00"; -val_one (7 downto 0) <= X"01"; -val_two (7 downto 0) <= X"02"; -sel(0) <= reg_3flag_core_i AND sel_alu_out_i(0); -sel(1) <= sel_alu_as_i;- -
HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame1.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bd@072eb3ContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame0.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame1.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame2.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame2.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame2.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame3.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame3.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame3.htm (nonexistent)
@@ -1,272 +0,0 @@
-
-
-
-
-
-R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdToolbarFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd@072eb3.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd@072eb3.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd@072eb3.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bd@072eb3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdContentFrame0.htm (nonexistent)
@@ -1,187 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbContentFrame0.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbContentFrame0.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbContentFrame0.htm (nonexistent)
@@ -1,183 +0,0 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbContentFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdContentFrame1.htm (nonexistent)
@@ -1,109 +0,0 @@
-
-
-
-
- R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Generation Settings
-Component declarations | yes |
Configurations | embedded statements |
add pragmas | |
exclude view name |
Declarations
-Ports:
--ch_a_i : std_logic_vector(7 DOWNTO 0) -ch_b_i : std_logic_vector(7 DOWNTO 0) -d_alu_o : std_logic_vector(7 DOWNTO 0) -reg_1flag_o : std_logic -reg_0flag_o : std_logic -reg_6flag_o : std_logic -reg_7flag_o : std_logic -reg_0flag_core_i : std_logic -reg_3flag_core_i : std_logic -sel_alu_as_i : std_logic -reg_7flag_core_i : std_logic -sel_alu_out_i : std_logic_vector(2 DOWNTO 0)-
Diagram Signals:
--signal q_and : std_logic_vector(7 DOWNTO 0) -signal q_or : std_logic_vector(7 DOWNTO 0) -signal q_xor : std_logic_vector(7 DOWNTO 0) -signal q_aneg : std_logic_vector(7 DOWNTO 0) -signal q_bneg : std_logic_vector(7 DOWNTO 0) -signal val_zero : std_logic_vector(7 DOWNTO 0) -signal val_one : std_logic_vector(7 DOWNTO 0) -signal val_two : std_logic_vector(7 DOWNTO 0) -signal q_a : std_logic_vector(7 DOWNTO 0) -signal din : std_logic -signal din1 : std_logic -signal sel : std_logic_vector(1 DOWNTO 0) -signal din0 : std_logic -signal din2 : std_logic -signal din3 : std_logic -signal dout : std_logic-
Pre User:
---
Post User:
---
Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;-
Bundles
-
HTML/R6502_TC/@a@l@u/struct_bdContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbContentFrame1.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbContentFrame1.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbContentFrame1.htm (nonexistent)
@@ -1,79 +0,0 @@
-
-
-
-
- R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
Declarations
-Ports:
--ch_a_i : in std_logic_vector (7 DOWNTO 0) ; -ch_b_i : in std_logic_vector (7 DOWNTO 0) ; -reg_0flag_core_i : in std_logic ; -reg_3flag_core_i : in std_logic ; -reg_7flag_core_i : in std_logic ; -sel_alu_as_i : in std_logic ; -sel_alu_out_i : in std_logic_vector (2 DOWNTO 0) ; -d_alu_o : out std_logic_vector (7 DOWNTO 0) ; -reg_0flag_o : out std_logic ; -reg_1flag_o : out std_logic ; -reg_6flag_o : out std_logic ; -reg_7flag_o : out std_logic-
User:
---
Generic Declarations
-Package List
--LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.std_logic_arith.all;- - - - - - - - -
HTML/R6502_TC/@a@l@u/symbol_sbContentFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sb.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@a@l@u/symbol_sb.jpg
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sb.jpg (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sb.jpg (nonexistent)
HTML/R6502_TC/@a@l@u/symbol_sb.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd.jpg
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: HTML/R6502_TC/@a@l@u/struct_bd.jpg
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd.jpg (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd.jpg (nonexistent)
HTML/R6502_TC/@a@l@u/struct_bd.jpg
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbContentFrame2.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbContentFrame2.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbContentFrame2.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Side Data was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbContentFrame2.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bdContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bdContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bdContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bdContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sbContentFrame3.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sbContentFrame3.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sbContentFrame3.htm (nonexistent)
@@ -1,55 +0,0 @@
-
-
-
-
- R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-Generated HDL was not exported
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sbContentFrame3.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/symbol_sb.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/symbol_sb.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/symbol_sb.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\ALU\symbol_sb
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/symbol_sb.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd.htm (nonexistent)
@@ -1,63 +0,0 @@
-
-
-
-
- R6502_TC\ALU\struct_bd
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bd.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame0.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame0.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame0.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame0.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame1.htm
===================================================================
--- HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame1.htm (revision 18)
+++ HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame1.htm (nonexistent)
@@ -1,271 +0,0 @@
-
-
-
-
-
-struct_bd:eb3
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
HTML/R6502_TC/@a@l@u/struct_bd@072eb3ToolbarFrame1.htm
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property