URL
https://opencores.org/ocsvn/csa/csa/trunk
Subversion Repositories csa
Compare Revisions
- This comparison shows the changes necessary to convert path
/csa/trunk
- from Rev 38 to Rev 39
- ↔ Reverse comparison
Rev 38 → Rev 39
/pc/csa_test.c
22,10 → 22,11
#include "misc.h" |
#include "imgdev.h" |
|
unsigned char buf[512]; |
|
int main() |
{ |
int i; |
unsigned char buf[256]; |
if(img_dev_open()<0) |
{ |
DEBUG_LINE("can not open image device"); |
34,10 → 35,10
|
for(i=0;i<100;i++) |
{ |
int n; |
DEBUG_LINE("i=%d",i); |
memset(buf,i,sizeof buf); |
//img_write_data((unsigned char*)buf,sizeof buf,0x08); |
img_write_data((unsigned char*)&i,2,0x08); |
n=256-i; |
img_read_img((unsigned char*)buf,sizeof buf); |
sleep(1); |
} |
img_dev_close(); |
/quartus10/usb_cnt.v
0,0 → 1,92
// the usb controler module |
|
// now this control support 3 endpoint |
// ep2, output data |
// ep6, input data |
// ep8, input data |
|
module usb_cnt ( |
input clk |
, output pktend // |
, output reg sloe // output enable , high active |
, output reg slwr // fifo write signal, low active |
, output slcs // fifo chip select, low active |
, output reg slrd // fifo read , low active |
, output reg [ 1:0] fifoadr // fifo address, 00-ep2 01 -- ep4 10--ep6 11--pe8 |
, inout [15:0] fd // data bus |
// endpoint data ports |
// ep2 |
, input ep2_t // ep2 full flag, low active |
, output ep2_busy // ep2 busy, high active |
, input ep2_wr // ep2 write signal |
, input [15:0] ep2_data |
// ep6 |
, input ep6_t // ep6 empty flag, low active |
, output ep6_f // ep6 have data coming |
, output [15:0] ep6_data |
// ep8 |
, input ep8_t // ep8 empty flag, low active |
, output ep8_f // ep8 have data coming |
, output [15:0] ep8_data |
); |
|
`define EP2_W 2'h3 |
`define EP6_R 2'h2 |
`define EP8_R 2'h1 |
`define NO_ACT 2'h0 |
|
reg [1:0] last_action; // the active in lost clck |
|
reg [15:0] usb_dat_in; // the data coming from fd |
reg [15:0] usb_dat_out; // the data upgoing to fd |
reg oe; |
|
assign pktend = 1'h1; |
assign slcs =1'h0; |
|
always @(posedge clk) |
if(ep2_t&ep2_wr) |
begin |
sloe <= 1'h0; |
oe <= 1'h1; |
slrd<=1'h1; |
slwr<=1'h0; |
last_action<=`EP2_W; |
fifoadr<=2'h0; |
end |
else if(ep6_t) |
begin |
sloe <= 1'h0; |
oe <= 1'h0; |
slrd<=1'h0; |
slwr<=1'h1; |
last_action<=`EP6_R; |
fifoadr<=2'h2; |
end |
else if(ep8_t) |
begin |
sloe <= 1'h0; |
oe <= 1'h0; |
slrd<=1'h0; |
slwr<=1'h1; |
last_action<=`EP8_R; |
fifoadr<=2'h3; |
end |
else |
begin |
sloe <= 1'h1; |
oe <= 1'h1; |
slrd<=1'h1; |
slwr<=1'h1; |
last_action<=`NO_ACT; |
fifoadr<=2'h0; |
end |
|
assign ep6_data=fd; |
assign ep6_f=last_action==`EP6_R; |
assign ep8_data=fd; |
assign ep8_f=last_action==`EP8_R; |
assign fd=(oe)?ep2_data:16'hzzzz; |
assign ep2_busy=~ep2_t; |
|
endmodule |
/quartus10/csa_fpga.v
27,15 → 27,17
|
|
wire usbclk = ifclk; |
wire ep6_havedata = flaga; |
wire ep2_haveroom = flagc; |
wire ep8_havedata = flagb; |
|
assign bell = 1'h1; |
|
`define CNT_WIDTH 25 |
`define STA_WIDTH 4 |
|
reg [15:0] data_r; |
wire ep2_busy; |
|
always @(posedge usbclk) |
if(~ep2_busy) |
data_r<= data_r + 16'h1; |
|
//////////////////////////////////////////////////////////////////////////////// |
// led segement control |
//////////////////////////////////////////////////////////////////////////////// |
43,7 → 45,7
ledseg_cnt ledseg_cnt( |
.clk (usbclk) |
, .rst (rst) |
, .data (usb_dat_in) |
, .data (data_r) |
, .seg (ledseg) |
, .segd (seg_d) |
); |
51,62 → 53,29
//////////////////////////////////////////////////////////////////////////////// |
// usb interface |
//////////////////////////////////////////////////////////////////////////////// |
assign slcs =1'h0; |
assign pktend=1'h1; |
|
`define EP2_W 2'h3 |
`define EP6_R 2'h2 |
`define EP8_R 2'h1 |
`define NO_ACT 2'h0 |
usb_cnt usb_cnt( |
.clk (usbclk) |
, .pktend (pktend) |
, .sloe (sloe) |
, .slwr (slwr) |
, .slcs (slcs) |
, .slrd (slrd) |
, .fifoadr (fifoadr) |
, .fd (fd) |
, .ep2_t (flagc) |
, .ep2_busy(ep2_busy) |
, .ep2_wr (data_r[0]) |
, .ep2_data(data_r) |
, .ep6_t (flaga) |
, .ep6_f () |
, .ep6_data() |
, .ep8_t (flagb) |
, .ep8_f () |
, .ep8_data() |
); |
|
reg [ 1:0] last_action; |
reg [15:0] usb_dat_out; |
reg [15:0] usb_dat_in; |
|
always @(posedge usbclk) |
if(ep6_havedata) |
begin |
sloe<=1'h0; |
fifoadr<=2'h2; |
slrd<=1'h0; |
slwr<=1'h1; |
last_action<=`EP6_R; |
end |
else |
if(ep8_havedata) |
begin |
sloe<=1'h0; |
fifoadr<=2'h3; |
slrd<=1'h0; |
slwr<=1'h1; |
last_action<=`EP8_R; |
end |
else |
if(ep2_haveroom) |
begin |
// ouput data |
sloe<=1'h1; |
fifoadr<=2'h0; |
slwr<=1'h0; |
slrd<=1'h1; |
last_action<=`EP2_W; |
end |
else |
begin |
sloe<=1'h1; |
fifoadr<=2'h0; |
slwr<=1'h1; |
slrd<=1'h1; |
last_action<=`NO_ACT; |
end |
|
|
always @(posedge usbclk) |
if(last_action==`EP8_R ) |
usb_dat_in<=fd; |
|
assign fd=(sloe)?usb_dat_out:16'hzzzz; |
|
//////////////////////////////////////////////////////////////////////////////// |
// csa decrypt module |
//////////////////////////////////////////////////////////////////////////////// |
/quartus10/csa.qsf
109,26 → 109,6
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0 |
set_global_assignment -name SIGNALTAP_FILE csa.stp |
set_global_assignment -name VERILOG_FILE ../rtl/sbox1.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox2.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox3.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox4.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox5.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox6.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox7.v |
set_global_assignment -name VERILOG_FILE ../rtl/sboxes.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_iteration.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_byte.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_8bytes.v |
set_global_assignment -name VERILOG_FILE ../rtl/key_perm.v |
set_global_assignment -name VERILOG_FILE ../rtl/block_perm.v |
set_global_assignment -name VERILOG_FILE ../rtl/block_sbox.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_cypher.v |
set_global_assignment -name VERILOG_FILE ../rtl/key_schedule.v |
set_global_assignment -name VERILOG_FILE ../rtl/block_decypher.v |
set_global_assignment -name VERILOG_FILE ../rtl/decrypt.v |
set_global_assignment -name VERILOG_FILE csa_fpga.v |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to fd[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to fd[10] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to fd[11] -section_id auto_signaltap_0 |
147,23 → 127,6
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to fd[9] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to fifoadr[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to fifoadr[1] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to flagb -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to usb_dat_in[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to usb_dat_in[10] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to usb_dat_in[11] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to usb_dat_in[12] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to usb_dat_in[13] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to usb_dat_in[14] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to usb_dat_in[15] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to usb_dat_in[1] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to usb_dat_in[2] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to usb_dat_in[3] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to usb_dat_in[4] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to usb_dat_in[5] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to usb_dat_in[6] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to usb_dat_in[7] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to usb_dat_in[8] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to usb_dat_in[9] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to fd[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to fd[10] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to fd[11] -section_id auto_signaltap_0 |
182,26 → 145,79
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to fd[9] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to fifoadr[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to fifoadr[1] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to flagb -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to usb_dat_in[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to usb_dat_in[10] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to usb_dat_in[11] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to usb_dat_in[12] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to usb_dat_in[13] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to usb_dat_in[14] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to usb_dat_in[15] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to usb_dat_in[1] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to usb_dat_in[2] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to usb_dat_in[3] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to usb_dat_in[4] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to usb_dat_in[5] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to usb_dat_in[6] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to usb_dat_in[7] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to usb_dat_in[8] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to usb_dat_in[9] -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=35" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=35" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=126" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=50581" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=31972" -section_id auto_signaltap_0 |
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top |
set_global_assignment -name VERILOG_FILE ledseg_cnt.v |
set_global_assignment -name VERILOG_FILE led_cnt.v |
set_global_assignment -name VERILOG_FILE hex2seg.v |
set_global_assignment -name SIGNALTAP_FILE csa.stp |
set_global_assignment -name VERILOG_FILE ../rtl/sbox1.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox2.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox3.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox4.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox5.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox6.v |
set_global_assignment -name VERILOG_FILE ../rtl/sbox7.v |
set_global_assignment -name VERILOG_FILE ../rtl/sboxes.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_iteration.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_byte.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_8bytes.v |
set_global_assignment -name VERILOG_FILE ../rtl/key_perm.v |
set_global_assignment -name VERILOG_FILE ../rtl/block_perm.v |
set_global_assignment -name VERILOG_FILE ../rtl/block_sbox.v |
set_global_assignment -name VERILOG_FILE ../rtl/stream_cypher.v |
set_global_assignment -name VERILOG_FILE ../rtl/key_schedule.v |
set_global_assignment -name VERILOG_FILE ../rtl/block_decypher.v |
set_global_assignment -name VERILOG_FILE ../rtl/decrypt.v |
set_global_assignment -name VERILOG_FILE csa_fpga.v |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to flaga -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to flagb -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to flagc -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to slcs -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to sloe -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to slrd -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to slwr -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to usb_dat_in[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to usb_dat_in[10] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to usb_dat_in[11] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to usb_dat_in[12] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to usb_dat_in[13] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to usb_dat_in[14] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to usb_dat_in[15] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to usb_dat_in[1] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to usb_dat_in[2] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to usb_dat_in[3] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to usb_dat_in[4] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to usb_dat_in[5] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to usb_dat_in[6] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to usb_dat_in[7] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to usb_dat_in[8] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to usb_dat_in[9] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to flaga -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to flagb -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to flagc -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to slcs -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to sloe -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to slrd -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to slwr -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to usb_dat_in[0] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to usb_dat_in[10] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to usb_dat_in[11] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to usb_dat_in[12] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to usb_dat_in[13] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to usb_dat_in[14] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to usb_dat_in[15] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to usb_dat_in[1] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to usb_dat_in[2] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to usb_dat_in[3] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to usb_dat_in[4] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to usb_dat_in[5] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to usb_dat_in[6] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to usb_dat_in[7] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to usb_dat_in[8] -section_id auto_signaltap_0 |
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to usb_dat_in[9] -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=41" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=41" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=144" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=5273" -section_id auto_signaltap_0 |
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=52572" -section_id auto_signaltap_0 |