OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /csa/trunk
    from Rev 43 to Rev 44
    Reverse comparison

Rev 43 → Rev 44

/rtl/stream_byte.v
49,6 → 49,7
wire [3 :0] Z1;
wire p1;
wire q1;
wire r1;
 
wire [10*4-1:0] A2;
wire [10*4-1:0] B2;
60,6 → 61,7
wire [3 :0] Z2;
wire p2;
wire q2;
wire r2;
 
wire [10*4-1:0] A3;
wire [10*4-1:0] B3;
71,6 → 73,8
wire [3 :0] Z3;
wire p3;
wire q3;
wire r3;
 
wire [7 :0] _op;
 
wire [3 :0] in1;
79,7 → 83,7
assign in1 = sb[7:4];
assign in2 = sb[3:0];
 
stream_iteration b1 (
stream_iteration stream_iteration1 (
.init(init)
,.in1 (in2)
,.in2 (in1)
108,7 → 112,7
,.op (_op[7:6])
);
 
stream_iteration b2 (
stream_iteration stream_iteration2 (
.init(init)
,.in1 (in1)
,.in2 (in2)
137,7 → 141,7
,.op (_op[5:4])
);
 
stream_iteration b3 (
stream_iteration stream_iteration3 (
.init(init)
,.in1 (in2)
,.in2 (in1)
166,7 → 170,7
,.op (_op[3:2])
);
 
stream_iteration b4 (
stream_iteration stream_iteration4 (
.init(init)
,.in1 (in1)
,.in2 (in2)
/rtl/ts_sync.v
28,7 → 28,7
dec<=1'h0;
sync<=1'h0;
need_dec<=1'h0;
ts_cnt=8'h0;
ts_cnt<=8'h0;
init<=1'h0;
end
else
70,7 → 70,7
sync<=1'h1;
valid<=1'h1;
head<=1'h1;
bytes=4'h4;
bytes<=4'h4;
group <= {32'h000,1'h0,datain[6:0],group_d[8*8-1:5*8]};
evenodd <= datain[6];
need_dec<=datain[7];
/rtl/group_decrypt.v
19,49 → 19,42
 
reg init_d;
wire [8*8-1:0] stream;
reg [8*8-1:0] stream_d;
wire [8*8-1:0] ib;
reg [8*8-1:0] bco_d;
wire [8*8-1:0] bco;
reg en_d;
 
always @(posedge clk)
en_d<=en;
 
always @(posedge clk)
begin
en_d<=en;
init_d<=init;
if(en)
begin
stream_d<=stream;
end
 
always @(posedge clk)
if(en_d)
bco_d<=bco;
 
end
assign ib=(init_d)?group:group^stream;
 
assign ib=(init_d)?group:group^stream;
stream_cypher stream_cypher(
.clk (clk)
, .rst (rst)
, .en (en)
, .init (init)
, .ck (ck)
, .sb (group)
, .cb (stream)
);
 
stream_cypher stream_cypher(
.clk (clk)
, .rst (rst)
, .en (en)
, .init (init)
, .ck (ck)
, .sb (group)
, .cb (stream)
);
 
block_decypher block_decypher(
.kk (kk)
, .ib (ib)
, .bd (bco)
);
 
assign ogroup=group^bco_d^stream;
 
assign valid=en_d & ~init_d;
assign obytes=4'h8;
 
endmodule
block_decypher block_decypher(
.kk (kk)
, .ib (ib)
, .bd (bco)
);
assign ogroup=group^bco_d^stream;
assign valid=en_d & ~init_d;
assign obytes=4'h8;
endmodule
/rtl/stream_8bytes.v
122,7 → 122,7
wire q7;
wire r7;
 
stream_byte b1(
stream_byte stream_byte1(
.init(init)
,.sb (sb[8*1-1:8*0])
,.Ai (Ai)
150,7 → 150,7
,.op (cb[8*1-1:8*0])
);
 
stream_byte b2(
stream_byte stream_byte2(
.init(init)
,.sb (sb[8*2-1:8*1])
,.Ai (A1)
178,7 → 178,7
,.op (cb[8*2-1:8*1])
);
 
stream_byte b3(
stream_byte stream_byte3(
.init(init)
,.sb (sb[8*3-1:8*2])
,.Ai (A2)
206,7 → 206,7
,.op (cb[8*3-1:8*2])
);
 
stream_byte b4(
stream_byte stream_byte4(
.init(init)
,.sb (sb[8*4-1:8*3])
,.Ai (A3)
234,7 → 234,7
,.op (cb[8*4-1:8*3])
);
 
stream_byte b5(
stream_byte stream_byte5(
.init(init)
,.sb (sb[8*5-1:8*4])
,.Ai (A4)
262,7 → 262,7
,.op (cb[8*5-1:8*4])
);
 
stream_byte b6(
stream_byte stream_byte6(
.init(init)
,.sb (sb[8*6-1:8*5])
,.Ai (A5)
290,7 → 290,7
,.op (cb[8*6-1:8*5])
);
 
stream_byte b7(
stream_byte stream_byte7(
.init(init)
,.sb (sb[8*7-1:8*6])
,.Ai (A6)
318,7 → 318,7
,.op (cb[8*7-1:8*6])
);
 
stream_byte b8(
stream_byte stream_bytes8(
.init(init)
,.sb (sb[8*8-1:8*7])
,.Ai (A7)
/rtl/stream_cypher.v
64,15 → 64,15
begin
A<= 40'h0000000000;
B<= 40'h0000000000;
X<= 8'h00;
Y<= 8'h00;
Z<= 8'h00;
D<= 8'h00;
E<= 8'h00;
F<= 8'h00;
p<= 8'h00;
q<= 8'h00;
r<= 8'h00;
X<= 4'h0;
Y<= 4'h0;
Z<= 4'h0;
D<= 4'h0;
E<= 4'h0;
F<= 4'h0;
p<= 1'h0;
q<= 1'h0;
r<= 1'h0;
end
else
begin
95,7 → 95,7
end
 
 
stream_8bytes b(
stream_8bytes stream_8bytes(
.init(init)
,.sb(sb)
,.Ai((init)?Ainit:A)
/quartus10/csa_fpga.v
20,7 → 20,7
, output reg [ 1:0] fifoadr
, inout [15:0] fd
, input ifclk
, output [ 7:0] led
// , output [ 7:0] led
, output [ 3:0] ledseg
, output [ 7:0] seg_d
);
33,6 → 33,8
 
reg [15:0] data_r;
wire ep2_busy;
wire ep6_f;
wire [15:0] ep6_data;
 
always @(posedge usbclk)
if(~ep2_busy)
68,8 → 70,8
, .ep2_wr (data_r[0])
, .ep2_data(data_r)
, .ep6_t (flaga)
, .ep6_f ()
, .ep6_data()
, .ep6_f (ep6_f)
, .ep6_data(ep6_data)
, .ep8_t (flagb)
, .ep8_f ()
, .ep8_data()
85,10 → 87,10
,. ck (64'h0000000000000000)
,. key_en (1'h0)
,. even_odd (1'h0)
,. en ()
,. encrypted ()
,. en (ep6_f)
,. encrypted (ep6_data[7:0])
,. decrypted ()
,. invalid ()
,. valid ()
);
 
/quartus10/csa.qsf
109,43 → 109,20
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to fd[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to fd[10] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to fd[11] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to fd[12] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to fd[13] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to fd[14] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to fd[15] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to fd[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to fd[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to fd[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to fd[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to fd[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to fd[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to fd[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to fd[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to fd[9] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to fifoadr[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to fifoadr[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to fd[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to fd[10] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to fd[11] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to fd[12] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to fd[13] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to fd[14] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to fd[15] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to fd[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to fd[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to fd[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to fd[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to fd[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to fd[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to fd[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to fd[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to fd[9] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to fifoadr[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to fifoadr[1] -section_id auto_signaltap_0
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to bell -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to bell -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=24" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=65169" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=13610" -section_id auto_signaltap_0
set_global_assignment -name VERILOG_FILE usb_cnt.v
set_global_assignment -name VERILOG_FILE ../rtl/key_cnt.v
set_global_assignment -name VERILOG_FILE ../rtl/group_decrypt.v
set_global_assignment -name VERILOG_FILE ../rtl/ts_serial_out.v
set_global_assignment -name VERILOG_FILE ../rtl/ts_sync.v
set_global_assignment -name VERILOG_FILE ledseg_cnt.v
set_global_assignment -name VERILOG_FILE led_cnt.v
set_global_assignment -name VERILOG_FILE hex2seg.v
168,56 → 145,4
set_global_assignment -name VERILOG_FILE ../rtl/key_schedule.v
set_global_assignment -name VERILOG_FILE ../rtl/block_decypher.v
set_global_assignment -name VERILOG_FILE ../rtl/decrypt.v
set_global_assignment -name VERILOG_FILE csa_fpga.v
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to flaga -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to flagb -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to flagc -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to slcs -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to sloe -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to slrd -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to slwr -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to usb_dat_in[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to usb_dat_in[10] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to usb_dat_in[11] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to usb_dat_in[12] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to usb_dat_in[13] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to usb_dat_in[14] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to usb_dat_in[15] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to usb_dat_in[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to usb_dat_in[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to usb_dat_in[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to usb_dat_in[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to usb_dat_in[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to usb_dat_in[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to usb_dat_in[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to usb_dat_in[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to usb_dat_in[9] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to flaga -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to flagb -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to flagc -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to slcs -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to sloe -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to slrd -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to slwr -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to usb_dat_in[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to usb_dat_in[10] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to usb_dat_in[11] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to usb_dat_in[12] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to usb_dat_in[13] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to usb_dat_in[14] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to usb_dat_in[15] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to usb_dat_in[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to usb_dat_in[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to usb_dat_in[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to usb_dat_in[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to usb_dat_in[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to usb_dat_in[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to usb_dat_in[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to usb_dat_in[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to usb_dat_in[9] -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=41" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=41" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=144" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=5273" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=52572" -section_id auto_signaltap_0
set_global_assignment -name VERILOG_FILE csa_fpga.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.