OpenCores
URL https://opencores.org/ocsvn/de1_olpcl2294_system/de1_olpcl2294_system/trunk

Subversion Repositories de1_olpcl2294_system

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /de1_olpcl2294_system/trunk/sw/ecos/debug
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/main.c
21,9 → 21,10
char stack[2][4096]; /* space for two 4K stacks */
 
/* now the handles for the threads */
cyg_handle_t simple_threadA, simple_threadB;
cyg_handle_t dbg_shell_thread, simple_threadB;
 
/* and now variables for the procedure which is the thread */
cyg_thread_entry_t dbg_shell;
cyg_thread_entry_t simple_program;
 
/* and now a mutex to protect calls to the C library */
40,22 → 41,27
// configure BCFG3
*((unsigned int *)0xFFE0000C) = 0x20007de7;
 
// configure gpio
*((unsigned int *)0x83200008) = 0x0003ffff;
*((unsigned int *)0x83200008) = 0x00000003;
*((unsigned int *)0x83200008) ^= 0x00000002;
 
cyg_mutex_init(&cliblock);
 
cyg_thread_create(4, simple_program, (cyg_addrword_t) 0,
"Thread A", (void *) stack[0], 4096,
&simple_threadA, &thread_s[0]);
// cyg_thread_create(4, simple_program, (cyg_addrword_t) 1,
// "Thread B", (void *) stack[1], 4096,
// &simple_threadB, &thread_s[1]);
cyg_thread_create(4, dbg_shell, (cyg_addrword_t) 0,
"DBG Shell", (void *) stack[0], 4096,
&dbg_shell_thread, &thread_s[0]);
cyg_thread_create(4, simple_program, (cyg_addrword_t) 1,
"Thread B", (void *) stack[1], 4096,
&simple_threadB, &thread_s[1]);
 
cyg_thread_resume(simple_threadA);
// cyg_thread_resume(simple_threadB);
cyg_thread_resume(dbg_shell_thread);
cyg_thread_resume(simple_threadB);
}
 
/* this is a simple program which runs in a thread */
void simple_program(cyg_addrword_t data)
void dbg_shell(cyg_addrword_t data)
{
int message = (int) data;
int delay;
66,5 → 72,16
 
}
 
/* this is a simple program which runs in a thread */
void simple_program(cyg_addrword_t data)
{
 
for (;;) {
 
*((unsigned int *)0x83200008) ^= 0x00000001;
cyg_thread_delay(200);
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.