OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /eco32/tags/eco32-0.23/binutils/tests-1/R16
    from Rev 7 to Rev 157
    Reverse comparison

Rev 7 → Rev 157

/test0.s
0,0 → 1,20
.nosyn
 
.code
 
add $3,$2,$1
add $3,$2,$1
add $3,$2,$1
add $3,$2,$1
add $3,$2,$1
add $3,$2,$1
 
.data
 
.word 0x55AA55AA
.word 0x55AA55AA
.word 0x55AA55AA
 
.bss
 
.space 0x100
/test1.s
0,0 → 1,56
.nosyn
 
.export C_global
.import C_extern
.export D_global
.import D_extern
.export B_global
.import B_extern
 
.code
 
add $3,$2,$1
add $3,$2,$1
beq $2,$1,C_local+10
beq $2,$1,C_global+20
beq $2,$1,C_extern+30
beq $2,$1,D_local+40
beq $2,$1,D_global+50
beq $2,$1,D_extern+60
beq $2,$1,B_local+70
beq $2,$1,B_global+80
beq $2,$1,B_extern+90
add $3,$2,$1
add $3,$2,$1
C_local:
add $3,$2,$1
C_global:
add $3,$2,$1
 
.data
 
.word 0x55AA55AA
.word 0x55AA55AA
beq $2,$1,C_local+10
beq $2,$1,C_global+20
beq $2,$1,C_extern+30
beq $2,$1,D_local+40
beq $2,$1,D_global+50
beq $2,$1,D_extern+60
beq $2,$1,B_local+70
beq $2,$1,B_global+80
beq $2,$1,B_extern+90
.word 0x55AA55AA
.word 0x55AA55AA
D_local:
.word 0x55AA55AA
D_global:
.word 0x55AA55AA
 
.bss
 
.space 0x100
B_local:
.space 0x100
B_global:
.space 0x100
/test2.s
0,0 → 1,30
.nosyn
 
.export C_extern
.export D_extern
.export B_extern
 
.code
 
add $3,$2,$1
add $3,$2,$1
add $3,$2,$1
C_extern:
add $3,$2,$1
add $3,$2,$1
add $3,$2,$1
 
.data
 
.word 0x55AA55AA
.word 0x55AA55AA
.word 0x55AA55AA
D_extern:
.word 0x55AA55AA
.word 0x55AA55AA
.word 0x55AA55AA
 
.bss
.space 0x100
B_extern:
.space 0x100
/Makefile
0,0 → 1,15
#
# Makefile for as/ld test
#
 
BUILD = ../../../build
 
all:
$(BUILD)/bin/as -o test0.o test0.s
$(BUILD)/bin/as -o test1.o test1.s
$(BUILD)/bin/as -o test2.o test2.s
$(BUILD)/bin/ld -h -o test -m test.map test0.o test1.o test2.o
$(BUILD)/bin/dof -a test1.o >test1.dump
 
clean:
rm -f *~ test0.o test1.o test2.o test test.map test1.dump

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.