OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /eco32/trunk/fpga
    from Rev 116 to Rev 81
    Reverse comparison

Rev 116 → Rev 81

/src/eco32.v
390,6 → 390,8
);
 
kbd kbd1(
.ps2_clk(ps2_clk),
.ps2_data(ps2_data),
.clk(clk),
.reset(reset),
.en(kbd_en),
398,9 → 400,7
.data_in(kbd_data_in[7:0]),
.data_out(kbd_data_out[7:0]),
.wt(kbd_wt),
.irq(kbd_irq),
.ps2_clk(ps2_clk),
.ps2_data(ps2_data)
.irq(kbd_irq)
);
 
ser ser1_0(
/src/kbd/kbd.v
1,14 → 1,10
//
// kbd.v -- PC keyboard interface
//
 
 
module kbd(clk, reset,
module kbd(ps2_clk, ps2_data,
clk, reset,
en, wr, addr,
data_in, data_out,
wt, irq,
ps2_clk, ps2_data);
// internal interface
wt, irq);
input ps2_clk;
input ps2_data;
input clk;
input reset;
input en;
18,9 → 14,6
output [7:0] data_out;
output wt;
output irq;
// external interface
input ps2_clk;
input ps2_data;
 
wire [7:0] keyboard_data;
wire keyboard_rdy;
27,7 → 20,6
reg [7:0] data;
reg rdy;
reg ien;
reg [7:2] other_bits;
 
keyboard keyboard1(
.ps2_clk(ps2_clk),
43,7 → 35,6
data <= 8'h00;
rdy <= 0;
ien <= 0;
other_bits <= 6'b000000;
end else begin
if (keyboard_rdy == 1) begin
data <= keyboard_data;
55,13 → 46,12
if (en == 1 && wr == 1 && addr == 0) begin
rdy <= data_in[0];
ien <= data_in[1];
other_bits <= data_in[7:2];
end
end
end
 
assign data_out =
(addr == 0) ? { other_bits[7:2], ien, rdy } : data[7:0];
(addr == 0) ? { 6'b000000, ien, rdy } : data;
assign wt = 1'b0;
assign irq = ien & rdy;
 
/src/kbd/keyboard.v
1,8 → 1,3
//
// keyboard.v -- PC keyboard receiver
//
 
 
module keyboard(ps2_clk, ps2_data,
clk, reset,
keyboard_data, keyboard_rdy);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.