URL
https://opencores.org/ocsvn/eco32/eco32/trunk
Subversion Repositories eco32
Compare Revisions
- This comparison shows the changes necessary to convert path
/eco32/trunk
- from Rev 191 to Rev 192
- ↔ Reverse comparison
Rev 191 → Rev 192
/fpga/src/eco32.v
48,8 → 48,8
slot1_cs_n, |
slot2_cs_n, |
ether_cs_n, |
sw1_3_n, |
sw1_4_n, |
sw1_3, |
sw1_4, |
sw2_n, |
sw3_n); |
|
111,8 → 111,8
// ethernet |
output ether_cs_n; |
// board I/O |
input sw1_3_n; |
input sw1_4_n; |
input sw1_3; |
input sw1_4; |
input sw2_n; |
input sw3_n; |
|
492,8 → 492,10
.data_in(bio_data_in[31:0]), |
.data_out(bio_data_out[31:0]), |
.wt(bio_wt), |
.sw1_3_n(sw1_3_n), |
.sw1_4_n(sw1_4_n), |
.sw1_1(flash_a[19]), |
.sw1_2(flash_a[18]), |
.sw1_3(sw1_3), |
.sw1_4(sw1_4), |
.sw2_n(sw2_n), |
.sw3_n(sw3_n) |
); |
/fpga/src/bio/bio.v
7,7 → 7,8
en, wr, addr, |
data_in, data_out, |
wt, |
sw1_3_n, sw1_4_n, |
sw1_1, sw1_2, |
sw1_3, sw1_4, |
sw2_n, sw3_n); |
// internal interface |
input clk; |
19,8 → 20,10
output [31:0] data_out; |
output wt; |
// external interface |
input sw1_3_n; |
input sw1_4_n; |
input sw1_1; |
input sw1_2; |
input sw1_3; |
input sw1_4; |
input sw2_n; |
input sw3_n; |
|
27,10 → 30,14
reg [31:0] bio_out; |
wire [31:0] bio_in; |
|
reg sw1_3_p_n; |
reg sw1_3_s_n; |
reg sw1_4_p_n; |
reg sw1_4_s_n; |
reg sw1_1_p; |
reg sw1_1_s; |
reg sw1_2_p; |
reg sw1_2_s; |
reg sw1_3_p; |
reg sw1_3_s; |
reg sw1_4_p; |
reg sw1_4_s; |
reg sw2_p_n; |
reg sw2_s_n; |
reg sw3_p_n; |
51,10 → 58,14
assign wt = 0; |
|
always @(posedge clk) begin |
sw1_3_p_n <= sw1_3_n; |
sw1_3_s_n <= sw1_3_p_n; |
sw1_4_p_n <= sw1_4_n; |
sw1_4_s_n <= sw1_4_p_n; |
sw1_1_p <= sw1_1; |
sw1_1_s <= sw1_1_p; |
sw1_2_p <= sw1_2; |
sw1_2_s <= sw1_2_p; |
sw1_3_p <= sw1_3; |
sw1_3_s <= sw1_3_p; |
sw1_4_p <= sw1_4; |
sw1_4_s <= sw1_4_p; |
sw2_p_n <= sw2_n; |
sw2_s_n <= sw2_p_n; |
sw3_p_n <= sw3_n; |
62,6 → 73,8
end |
|
assign bio_in[31:0] = |
{ 28'h0, ~sw1_3_s_n, ~sw1_4_s_n, ~sw2_s_n, ~sw3_s_n }; |
{ 26'h0, |
~sw3_s_n, ~sw2_s_n, |
sw1_4_s, sw1_3_s, sw1_2_s, sw1_1_s }; |
|
endmodule |
/fpga/xsa-xst-3/eco32.ucf
170,7 → 170,7
# |
# board I/O |
# |
NET "sw1_3_n" LOC = "k2"; |
NET "sw1_4_n" LOC = "j4"; |
NET "sw1_3" LOC = "k2"; |
NET "sw1_4" LOC = "j4"; |
NET "sw2_n" LOC = "e11"; |
NET "sw3_n" LOC = "a13"; |
/fpga/xsa-xst-3/eco32.bit
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream