OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /eco32/trunk
    from Rev 196 to Rev 197
    Reverse comparison

Rev 196 → Rev 197

/doc/history
1243,3 → 1243,22
Hardware tests: kbdtest verified, README added.
Hardware tests: jalrtest verified, README added.
Release eco32-0.23 tagged.
 
27-Aug-2014
I think I came up with a better solution for the problem of how to
quickly transfer a disk image to the FPGA board (several hundred
megabytes): instead of transferring the data over the serial line,
we write the disk image created on the development system onto
a hard disk (or SSD), and then swap drives. This can easily be
done utilizing an USB-to-IDE converter (e.g., from an old external
hard disk drive enclosure). The disk image is simply written to
the disk using the "dd" command, with the whole USB mass storage
device (e.g., /dev/sdb) as the destination.
 
28-Aug-2014
Another error in the IDE disk interface showed up yesterday:
the two bytes of every halfword are byte-swapped on the storage
medium! This error can only be discovered if the disk is written
with a non-ECO32 controller and read with the ECO32 controller,
or vice versa, which is the reason for the error hiding for so
long.
/fpga/src/dsk/atabuf.v
37,8 → 37,8
assign internal_bus_addr[9:0] = bus_addr[11:2];
assign internal_ata_addr[9:0] = ata_addr[11:2];
 
assign lo_din_bus = bus_din[15:0];
assign hi_din_bus = bus_din[31:16];
assign lo_din_bus = { bus_din[7:0], bus_din[15:8] };
assign hi_din_bus = { bus_din[23:16], bus_din[31:24] };
assign lo_din_ata = ata_din;
assign hi_din_ata = ata_din;
 
47,7 → 47,8
ata_out_muxctrl <= ata_addr[1];
end
 
assign bus_dout = { hi_dout_bus, lo_dout_bus };
assign bus_dout = { hi_dout_bus[7:0], hi_dout_bus[15:8],
lo_dout_bus[7:0], lo_dout_bus[15:8] };
assign ata_dout = ata_out_muxctrl ? lo_dout_ata : hi_dout_ata;
 
assign lo_write_bus = bus_write;
/fpga/xsa-xst-3/eco32.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.