OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /eco32/trunk
    from Rev 241 to Rev 242
    Reverse comparison

Rev 241 → Rev 242

/monitor/monitor/boards/s3e-500/start.s
2,9 → 2,15
; start.s -- ECO32 ROM monitor startup and support routines
;
 
.set CIO_CTL,0x00 ; set console to keyboard/display
; .set CIO_CTL,0x03 ; set console to serial line 0
.set BIO_BASE,0xF1000000 ; board I/O base address
.set BIO_WR,BIO_BASE+0
.set SPI_EN,0x80000000 ; SPI bus enable ctrl bit
.set BIO_RD,BIO_BASE+4
.set CIO_CTRL,0x08 ; this bit controls console I/O
 
.set CIO_KBD_DSP,0x00 ; set console to keyboard/display
.set CIO_SERIAL_0,0x03 ; set console to serial line 0
 
.set dmapaddr,0xC0000000 ; base of directly mapped addresses
.set stacktop,0xC0010000 ; monitor stack is at top of 64K
 
21,9 → 27,6
 
.set USER_CONTEXT_SIZE,38*4 ; size of user context
 
.set BIO_OUT,0xF1000000 ; board I/O output port
.set SPI_EN,0x80000000 ; SPI bus enable ctrl bit
 
;***************************************************************
 
.import _ecode
162,7 → 165,7
mvts $8,PSW
 
; disable flash ROM, enable SPI bus
add $8,$0,BIO_OUT
add $8,$0,BIO_WR
add $9,$0,SPI_EN
stw $9,$8,0
 
210,7 → 213,12
jal ser1init ; init serial line 1
jal dskinitctl ; init disk (controller)
jal dskinitser ; init disk (serial line)
add $4,$0,CIO_CTL ; set console
ldw $8,$0,BIO_RD ; get switch settings
and $8,$8,CIO_CTRL
add $4,$0,CIO_SERIAL_0 ; set console to serial line
bne $8,$0,swtchset
add $4,$0,CIO_KBD_DSP ; set console to kbd/dsp
swtchset:
jal setcio
 
; call main
/fpga/boards/s3e-500/build/eco32.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/fpga/boards/s3e-500/src/bio/bio.v
32,6 → 32,9
reg [31:0] bio_out;
wire [31:0] bio_in;
 
reg [3:0] sw_p;
reg [3:0] sw_s;
 
always @(posedge clk) begin
if (reset) begin
bio_out[31:0] <= 32'h0;
47,8 → 50,13
assign wt = 0;
assign spi_en = bio_out[31];
 
assign bio_in[31:0] = { 28'h0, sw[3:0] };
always @(posedge clk) begin
sw_p[3:0] <= sw[3:0];
sw_s[3:0] <= sw_p[3:0];
end
 
assign bio_in[31:0] = { 28'h0, sw_s[3:0] };
 
assign led[7:0] = bio_out[7:0];
 
// disable the character LCD screen

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.