URL
https://opencores.org/ocsvn/eco32/eco32/trunk
Subversion Repositories eco32
Compare Revisions
- This comparison shows the changes necessary to convert path
/eco32
- from Rev 190 to Rev 191
- ↔ Reverse comparison
Rev 190 → Rev 191
/trunk/fpga/src/eco32.v
47,7 → 47,11
ata_iordy, |
slot1_cs_n, |
slot2_cs_n, |
ether_cs_n); |
ether_cs_n, |
sw1_3_n, |
sw1_4_n, |
sw2_n, |
sw3_n); |
|
// clock and reset |
input clk_in; |
107,7 → 111,10
// ethernet |
output ether_cs_n; |
// board I/O |
//!!!!! |
input sw1_3_n; |
input sw1_4_n; |
input sw2_n; |
input sw3_n; |
|
// clk_reset |
wire clk; |
484,7 → 491,11
.addr(bio_addr), |
.data_in(bio_data_in[31:0]), |
.data_out(bio_data_out[31:0]), |
.wt(bio_wt) |
.wt(bio_wt), |
.sw1_3_n(sw1_3_n), |
.sw1_4_n(sw1_4_n), |
.sw2_n(sw2_n), |
.sw3_n(sw3_n) |
); |
|
endmodule |
/trunk/fpga/src/bio/bio.v
6,7 → 6,9
module bio(clk, reset, |
en, wr, addr, |
data_in, data_out, |
wt); |
wt, |
sw1_3_n, sw1_4_n, |
sw2_n, sw3_n); |
// internal interface |
input clk; |
input reset; |
17,10 → 19,23
output [31:0] data_out; |
output wt; |
// external interface |
input sw1_3_n; |
input sw1_4_n; |
input sw2_n; |
input sw3_n; |
|
reg [31:0] bio_out; |
wire [31:0] bio_in; |
|
reg sw1_3_p_n; |
reg sw1_3_s_n; |
reg sw1_4_p_n; |
reg sw1_4_s_n; |
reg sw2_p_n; |
reg sw2_s_n; |
reg sw3_p_n; |
reg sw3_s_n; |
|
always @(posedge clk) begin |
if (reset) begin |
bio_out[31:0] <= 32'h0; |
35,6 → 50,18
(addr == 0) ? bio_out[31:0] : bio_in[31:0]; |
assign wt = 0; |
|
assign bio_in[31:0] = { 28'h0, 4'h0 }; |
always @(posedge clk) begin |
sw1_3_p_n <= sw1_3_n; |
sw1_3_s_n <= sw1_3_p_n; |
sw1_4_p_n <= sw1_4_n; |
sw1_4_s_n <= sw1_4_p_n; |
sw2_p_n <= sw2_n; |
sw2_s_n <= sw2_p_n; |
sw3_p_n <= sw3_n; |
sw3_s_n <= sw3_p_n; |
end |
|
assign bio_in[31:0] = |
{ 28'h0, ~sw1_3_s_n, ~sw1_4_s_n, ~sw2_s_n, ~sw3_s_n }; |
|
endmodule |
/trunk/fpga/xsa-xst-3/eco32.ucf
132,7 → 132,7
NET "rs232_1_txd" LOC = "f4"; |
|
# |
# board I/O |
# disk, ethernet, etc. |
# |
NET "ata_cs0_n" LOC = "g15"; |
NET "ata_cs1_n" LOC = "g14"; |
166,3 → 166,11
NET "pbus_write_n" LOC = "r1"; |
NET "slot1_cs_n" LOC = "e15"; |
NET "slot2_cs_n" LOC = "d16"; |
|
# |
# board I/O |
# |
NET "sw1_3_n" LOC = "k2"; |
NET "sw1_4_n" LOC = "j4"; |
NET "sw2_n" LOC = "e11"; |
NET "sw3_n" LOC = "a13"; |
/trunk/fpga/xsa-xst-3/eco32.bit
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream