OpenCores
URL https://opencores.org/ocsvn/ether_arp_1g/ether_arp_1g/trunk

Subversion Repositories ether_arp_1g

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ether_arp_1g/trunk/testbench
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/tb-arp_responder.vhdl
1,17 → 1,3
----------------------------------------------------------------------------------
-- Company: Carnegie Mellon University, Pittsburgh PA
-- Engineer: Justin Wagner
--
-- Create Date: 7/Oct/2011
-- Design Name:
-- Module Name: tb_arp_package - testbench
-- Project Name:
-- Target Devices: n/a
-- Tool versions:
--
-- Dependencies: arp_package.vhdl (Definitions of various constants)
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
186,9 → 172,9
wait_tb_clk;
end loop;
 
-- Generate THA
-- Generate THA (Zero since we don't know it!)
for i in 0 to 5 loop
DATA_RX <= MY_MAC((47-i*8) downto (40-i*8));
DATA_RX <= (others => '0');
wait_tb_clk;
end loop;
 
/tb-ed.vhdl
1,17 → 1,3
----------------------------------------------------------------------------------
-- Company: Carnegie Mellon University, Pittsburgh PA
-- Engineer: Justin Wagner
--
-- Create Date: 7/Oct/2011
-- Design Name:
-- Module Name: tb_edge_detector - testbench
-- Project Name:
-- Target Devices: n/a
-- Tool versions:
--
-- Dependencies:
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.