OpenCores
URL https://opencores.org/ocsvn/fft2_size/fft2_size/trunk

Subversion Repositories fft2_size

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /fft2_size
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/fft_int/W.m File deleted
/fft_int/yx_addr.sv File deleted
/fft_int/bitrev.sv File deleted
/fft_int/round32.sv File deleted
/fft_int/Quartus/fft_int.qar Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
fft_int/Quartus/fft_int.qar Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: fft_int/fft_int_tb.sv =================================================================== --- fft_int/fft_int_tb.sv (revision 7) +++ fft_int/fft_int_tb.sv (nonexistent) @@ -1,138 +0,0 @@ -timeunit 1ns; -timeprecision 1ns; - -module fft_int_tb; - localparam N_POW = 6; - localparam DATA_WIDTH = 32; - localparam RES_WIDTH = DATA_WIDTH + N_POW; - - bit clk = 0, aclr = 1; - bit sink_sop = 0, sink_eop = 0, sink_valid = 0; - bit signed [DATA_WIDTH-1:0] sink_Re = 0, sink_Im = 0; - wire source_sop, source_eop, source_valid; - wire signed [RES_WIDTH-1:0] source_Re, source_Im; - wire error; - - localparam time period = 20ns; - always #(period/2) clk++; - - initial begin - repeat(10) @(posedge clk); - aclr = 0; - repeat(10) @(posedge clk); - - @(posedge clk); - Test(2**N_POW, 1<<1); - Test(2**N_POW, 1<<2); - Test(2**N_POW, 5<<1); - -// TestLine(2**N_POW); -// TestConst(2**N_POW); - - wait (source_eop || error); - if (error) $warning("Error"); - - repeat(400) @(posedge clk); - $stop(2); - end - - always #(period * 2**N_POW * 10) begin - $warning("Timeout"); - $stop(2); - end - - fft_int #(.N_POW(N_POW), .DATA_WIDTH(DATA_WIDTH)) dut(.*); - - localparam IFFT_WIDTH = RES_WIDTH + N_POW; - - wire signed [IFFT_WIDTH-1:0] ifft_source_Re, ifft_source_Im; - wire signed [IFFT_WIDTH-N_POW-1:0] ifft_Re, ifft_Im; - wire ifft_sop, ifft_eop, ifft_valid; - wire ifft_err; - - fft_int #(.N_POW(N_POW), .DATA_WIDTH(RES_WIDTH)) ifft( - .clk, .aclr, - .sink_sop(source_sop), .sink_eop(source_eop), .sink_valid(source_valid), - .sink_Re(source_Re), .sink_Im(RES_WIDTH'('sh0) - source_Im), - .source_sop(ifft_sop), .source_eop(ifft_eop), .source_valid(ifft_valid), - .source_Re(ifft_source_Re), .source_Im(ifft_source_Im), - .error(ifft_err) - ); - - assign ifft_Re = ifft_source_Re / 2**N_POW; - assign ifft_Im = ifft_source_Im / 2**N_POW; - - task Test(int len, int bin_msk = 2); - int ar[]; - ar = new[len]; - - for (int i = 0; i < len; i++) - if ((bin_msk & 1<