OpenCores
URL https://opencores.org/ocsvn/ft245r_interface/ft245r_interface/trunk

Subversion Repositories ft245r_interface

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ft245r_interface/trunk
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/ft245rl_interface.vhd
124,7 → 124,6
elsif(do_write = '1')then
c_state <= WRITE_BYTE;
end if;
-- leds <= "1111";
-- Read one byte from the device
134,13 → 133,11
current_delay <= t3_delay;
c_state <= DO_DELAY;
n_state <= READ_BYTE1;
-- leds <= "1111";
when READ_BYTE1 =>
current_delay <= t1_delay - t3_delay;
c_state <= DO_DELAY;
n_state <= READ_BYTE2;
-- leds <= "1110";
when READ_BYTE2 =>
data_out <= in_buff;
148,7 → 145,6
current_delay <= t5_delay;
c_state <= DO_DELAY;
n_state <= READ_BYTE3;
-- leds <= "1101";
when READ_BYTE3 =>
current_delay <= t2_delay;
156,7 → 152,6
n_state <= IDLE;
data_available <= '1';
busy <= '0';
-- leds <= "1100";
-- Write one byte to the device
when WRITE_BYTE =>
171,7 → 166,6
else
c_state <= WRITE_BYTE;
end if;
-- leds <= "1011";
when WRITE_BYTE1 =>
nwr <= '0';
178,7 → 172,6
current_delay <= t11_delay;
c_state <= DO_DELAY;
n_state <= WRITE_BYTE2;
-- leds <= "1010";
when WRITE_BYTE2 =>
we <= '0';
185,12 → 178,10
current_delay <= t12_delay;
c_state <=DO_DELAY;
n_state <= WRITE_BYTE3;
-- leds <= "1001";
when WRITE_BYTE3 =>
busy <= '0';
c_state <= IDLE;
-- leds <= "1000";
when DO_DELAY =>
if(delay_cnt < current_delay)then
199,7 → 190,6
c_state <= n_state;
delay_cnt <= 0;
end if;
-- leds <= "0111";
when others =>
c_state <= INIT;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.