OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ft816float/trunk/posit_test_bench
    from Rev 42 to Rev 43
    Reverse comparison

Rev 42 → Rev 43

/positDiv_tb.v
0,0 → 1,103
`timescale 1ns / 1ps
module positDiv_tb_v;
 
function [31:0] log2;
input reg [31:0] value;
begin
value = value-1;
for (log2=0; value>0; log2=log2+1)
value = value>>1;
end
endfunction
 
parameter N=64;
parameter E=8;
parameter Bs=log2(N);
parameter es = 3;
 
reg [N-1:0] in;
reg clk;
reg [31:0] cnt = 0;
 
wire [N-1:0] out, out2, out3;
 
reg [N-1:0] a1, b1;
wire [N-1:0] a, b;
wire [N-1:0] p, fsum, fa, fb, ad, bd, psumd, out2d, p1;
wire i,z,d,i1,z1,d1;
wire done;
reg start;
 
// Instantiate the Unit Under Test (UUT)
 
intToPosit #(.PSTWID(N), .es(es)) u1a (.i(a1), .o(a));
intToPosit #(.PSTWID(N), .es(es)) u1b (.i(b1), .o(b));
 
positDivide #(.PSTWID(N), .es(es)) udiv1 (clk, 1'b1, a, b, p, start, d, z, i);
posit_div #(.N(N),.es(es)) udiv2 (a, b, start, p1, i1, z1, d1);
 
delay2 #(N) ud1 (.clk(clk), .ce(1'b1), .i(a), .o(ad));
delay2 #(N) ud2 (.clk(clk), .ce(1'b1), .i(a), .o(bd));
delay2 #(N) ud3 (.clk(clk), .ce(1'b1), .i(psum), .o(psumd));
delay2 #(N) ud4 (.clk(clk), .ce(1'b1), .i(out2), .o(out2d));
 
initial begin
a1 = $urandom(1);
b1 = $urandom(2);
cnt = 0;
// Initialize Inputs
clk = 1;
// Wait 100 ns for global reset to finish
#101 in = 32'h0080ffff;
#325150
$fclose(outfile);
$finish;
end
always #5 clk=~clk;
always @(posedge clk) begin
start <= 0;
cnt = cnt + 1;
case(cnt)
0:
begin
start <= 1;
a1 = 0;
b1 = 0;
end
1:
begin
a1 = 0;
b1 = 10;
end
2:
begin
a1 = 10;
b1 = 10;
end
10: start <= 1;
default:
begin
if (d) begin
start <= 1;
cnt <= cnt + 1;
a1 = $urandom();
b1 = $urandom();
end
else
cnt <= cnt;
end
endcase
end
 
integer outfile;
initial outfile = $fopen("d:/cores2020/rtf64/v2/rtl/verilog/cpu/pau/test_bench/positDiv_tvo64.txt", "wb");
always @(negedge clk) begin
if (p!=p1 && d)
$fwrite(outfile, "*%h\t%h\t%h\t%h\n",a,b,p,p1);
else if (d)
$fwrite(outfile, " %h\t%h\t%h\t%h\n",a,b,p,p1);
end
 
endmodule
 
/positDiv_tvo32.txt
0,0 → 1,3612
5a000000 5a000000 40000000 40000000
805b36ef 7f8000e7 ad9c0d83 ad9c0d83
8059f431 7faa6841 c2a472ae c2a472ae
80552fd4 7fa147d2 bb963e52 bb963e52
7faf6fcc 7fa066c1 47556c76 47556c76
*7fa5480b 805963fe c0f0953c c0f0953d
805acf03 7faa77df c3309b1a c3309b1a
7faaabcf 805a3ed0 be31285a be31285a
*805adea0 7f9fe7b6 bd6744f3 bd6744f2
7fa3a2ce 7fa5ada4 3e7e1cd8 3e7e1cd8
7f94d773 7f9c9d3a 3ba76392 3ba76392
7fafec74 7fa54a59 43fed8d8 43fed8d8
7fae4277 7fa38f41 44605afc 44605afc
7f95effa 7f915c61 421be152 421be152
*7f5bbbc1 7f95c8e0 2af7cd17 2af7cd18
7fadf88a 7ec0ab46 6f6a4a65 6f6a4a65
*7fae73b2 7f91e10e 4da02db6 4da02db5
8058ce86 80505190 3bb697e6 3bb697e6
7fa3d4f8 8056c710 c36b6460 c36b6460
7f18f28e 7fa248a8 1a3ab1f8 1a3ab1f8
7f9f6f2f 7f9280d6 45973fc2 45973fc2
7fa29a38 7f78c8ed 527ba7b9 527ba7b9
*7fa0077c 7fa30c1e 3d76fa8a 3d76fa8b
7fa5d537 7fafbf13 3b00eedd 3b00eedd
7fac4c88 7f90dedf 4d6b5799 4d6b5799
*7f74ba1d 805c5404 d54756e1 d54756e2
7fab7b8d 7fa920da 40bfe0fb 40bfe0fb
805c1318 7fa7c817 c2982a92 c2982a92
80519049 7f9ebd73 b82875d4 b82875d4
80541c1a 7fad0bd7 c0a3049e c0a3049e
80587f93 7fa02f33 bc620e7d bc620e7d
7fa4d3ed 805a0fdc c0cf4911 c0cf4911
7faf8dec 7fa8f008 421f669e 421f669e
7f8c6ecd 7f901afd 3e1f93dc 3e1f93dc
7f923e74 7fabefa0 3272f0ef 3272f0ef
7fa21d5b 8058ad26 c392c703 c392c703
7fa4dfa8 7fa9390e 3d3db8a9 3d3db8a9
7fa0baa5 7fadfee1 38ec691a 38ec691a
7fa528ae 8052d800 c46380b0 c46380b0
8056ac07 7f9410ab b5e6d3ae b5e6d3ae
7fac110e 7fa766ac 41985146 41985146
7f900e70 7fa139bc 36e9ff32 36e9ff32
*7faa3ead 7f9d667f 46486022 46486021
7fab4212 7fa64fbc 41c60eba 41c60eba
805619ed 8053494b 3e6e71f6 3e6e71f6
7f8e25e3 7f0c4cc3 6171c106 6171c106
*7fa1fc8a 8052cb8b c625639c c625639d
7fa6a856 7fa81677 3f0ccda4 3f0ccda4
805614d5 7fae31cd c2440afb c2440afb
*7fa86f10 7fa8115f 401f2476 401f2475
7f3f5644 805f1766 e08220c1 e08220c1
7fa027d7 7f8c48bb 49239924 49239924
7f9a9265 805896e6 c6eb7180 c6eb7180
7faf238e 805e468c b9f200b3 b9f200b3
7fa7ae4b 805f4b64 bca8dd58 bca8dd58
7fa558ba 7f83ddf8 50988451 50988451
7fa7e24b 7fa47c4a 4153c104 4153c104
*7fa33e0b 805f9097 bea232b8 bea232b7
7f7c7ae4 805896c5 d5aa9a1c d5aa9a1c
7fa48814 7faba9fa 3bdff4b2 3bdff4b2
7fad130f 8057c61e be6622d6 be6622d6
7f96751e 8050dabd cc7694b1 cc7694b1
7fa05ce3 7fafc2a4 383e3fdd 383e3fdd
7fac84b5 7f9adfe5 487d445d 487d445d
805788c3 8057bf46 4011fb2c 4011fb2c
7f9c955d 7fa7e5a5 3991a10c 3991a10c
*805781e8 805acf65 413f346d 413f346c
7f85565f 805f0aab cdef27e6 cdef27e6
7fa28ea9 7fa3a047 3f20ef96 3f20ef96
8056f050 7faa1092 c09db06d c09db06d
7fae6fab 7f988bd2 49eb6ea8 49eb6ea8
80591b3d 7fa0fe55 bd38efe1 bd38efe1
*7fafe630 7fa00b8f 47e79a9f 47e79aa0
805b0ee8 7fae55db c4f45c47 c4f45c47
*7fac5177 7fa42a25 433c1c94 433c1c95
7f9ea860 7fac37a6 38b11e46 38b11e46
*805435b4 7faa6317 bf931896 bf931897
7faa8d82 7f21cab3 664944af 664944af
7f9d1a79 8059e1b1 c5793d33 c5793d33
7facbed1 7fa2c2f1 4441d6bd 4441d6bd
7f811323 7fa74c52 2bb9e15f 2bb9e15f
7fa34a1b 7fa2d205 40330b88 40330b88
80512e03 7fa008ec b89f9484 b89f9484
7f8653d8 7f85cb31 40322980 40322980
*7f8d54fd 7fa8df11 316f4e1c 316f4e1b
7fa844d2 7f910685 4b674e8f 4b674e8f
*8058e7fe 7fadd9c8 c39f28e6 c39f28e5
*805df60f 7fa03d3c bf1d0a1c bf1d0a1d
7fa6b8d8 7f88eb81 4e96bd96 4e96bd96
7fa8c080 7fafa0d6 3c857cba 3c857cba
7f80529d 8056b68f d5ac0715 d5ac0715
805fde12 7faacd80 c65e9f33 c65e9f33
7f99e2dd 80589e93 c72485dc c72485dc
*7faa6e56 7fa10616 446bb626 446bb625
805f5520 7f9498d1 bb0d6e09 bb0d6e09
*805bd396 7fac468f c495ac1f c495ac1e
*7fa2eafb 7f8907ac 4c17cbe6 4c17cbe5
7f9a9948 7f6401b0 53d1cb0e 53d1cb0e
7fa08e54 7fa9203a 3a8af094 3a8af094
7fae86aa 7f7794e6 58c99138 58c99138
*7faf6225 7f97a69c 4a9d9648 4a9d9649
8055b96a 805ff078 45169693 45169693
8054f388 80544013 3f988738 3f988738
7fa369c7 805455ad c4c5c5df c4c5c5df
7fa01362 7fa92330 3a3b7174 3a3b7174
7fa44626 7fa506ea 3f6d51d1 3f6d51d1
7fad6343 7f8ebfb4 4f4ab0b8 4f4ab0b8
7fa95c96 805d76a4 bd0deeca bd0deeca
8050d31d 7f9b4579 b6dad2f4 b6dad2f4
7f67d8e1 7fae3661 228d1be3 228d1be3
*7fa552aa 7fabda24 3c3fcc8d 3c3fcc8c
7f975a0b 7fa625c7 386f5986 386f5986
7f92f9c2 805e2a94 c77cdc77 c77cdc77
7fa45c27 7faecf89 3a92ac35 3a92ac35
805a04b8 8050092e 3b00cc0b 3b00cc0b
*7fa4f550 7fa38199 40987319 4098731a
805e33c2 80595178 3c8e07c9 3c8e07c9
7fa25123 7fa8387a 3c199e0d 3c199e0d
*80595aa6 7f8d19cd b38c89e6 b38c89e5
7f977426 7fa78e68 37ee2a41 37ee2a41
*7fa097eb 7fae0b35 38d6414c 38d6414b
805fc6e2 7fa9f292 c5ff1185 c5ff1185
*805551a8 7fab80f5 c07a85a0 c07a85a1
7fa180fa 7fa5e994 3cc7eb06 3cc7eb06
7fa98c2a 7f48fb61 60fcb111 60fcb111
*805fdc26 7faeddd3 c7a2353e c7a2353f
7facc8cf 7f4ba3ef 6146d309 6146d309
7fa4c767 7fa654fa 3ee32727 3ee32727
8052a4fa 7f828e34 ab57fa14 ab57fa14
*7fa532cd 7faf6dca 3acab593 3acab592
805600ac 7f93f467 b593d32c b593d32c
7fa5c2c3 8058a5a7 c11740ca c11740ca
805e9dc1 805af590 3d3817ee 3d3817ee
80581372 7fa49e6d beb7b4e8 beb7b4e8
7fa4efc3 7fadd635 3b3a2e27 3b3a2e27
7fad4415 7f78d838 583cca25 583cca25
*8058cbc4 80555785 3ded484a 3ded484b
7fa82bf0 7fadbb88 3d01eb95 3d01eb95
80532dba 7fa57004 bd3e9985 bd3e9985
*8051490b 805bf97e 444530b0 444530af
7faac78a 7fa974fc 406a648c 406a648c
*7f936a0b 7fadf544 325e6650 325e664f
*805ee500 7f95fc21 bb8d150d bb8d150e
7f93dd85 7f935914 4036c30c 4036c30c
8054730d 7fa3a3c9 bcc713b1 bcc713b1
*8057a1cf 7fa3580d bdec188f bdec188e
*7faea1d9 7fa19091 45f3a322 45f3a321
7fad0496 7f714e51 596a9c47 596a9c47
7f84d165 7f829996 40f4382f 40f4382f
7fa66cf2 8053d632 c3427b3e c3427b3e
7fa636f6 7fa37188 4123e4e4 4123e4e4
7f8bac5c 7fab6b50 3012fa7f 3012fa7f
7fa817ed 7fad5809 3d231cf5 3d231cf5
7faf4181 7f9c9dc8 48bce7cb 48bce7cb
7fa0c991 80562c99 c5998109 c5998109
8059ba33 7f91c2fd b5f7dcfa b5f7dcfa
805384a1 7f91f769 b35156a6 b35156a6
7fa73063 7fa44e33 4122d541 4122d541
*7f9e509b 8050ea96 c8654e26 c8654e27
7fad2fb0 7fa2acef 4480a22a 4480a22a
7f93cc95 7fa46014 378c2d34 378c2d34
*7f8bec83 7fa90e98 30ea51c6 30ea51c7
7fa54aa8 80542ad2 c3c29efe c3c29efe
7f97770d 7faf30f3 3409683e 3409683e
7fa88ae4 7fa2b6a8 427dedb0 427dedb0
7fa83f8b 805dd58e bd5245e2 bd5245e2
*7fa6e179 7f7fb11f 537ee795 537ee794
805d0681 7f9ed8bd be2870b4 be2870b4
7fa6b1b9 8055460c c269f45d c269f45d
7fad41ec 7f9b2666 489ef9c3 489ef9c3
*7f92823d 7f9490da 3e664ce1 3e664ce2
*7facff92 7faff2d8 3e85c17e 3e85c17d
7f9f1cf3 7faa417d 397ae028 397ae028
7f9b0c16 7fa8cf98 38b897fb 38b897fb
805489ea 7f950b38 b58f72fc b58f72fc
*7fa8c26f 7fa41863 41db5ac8 41db5ac9
8054c719 7f8921ea af55b4c6 af55b4c6
7f99cff6 80595103 c6e583a0 c6e583a0
7fa0ce17 7f86a9aa 4bdd4b4a 4bdd4b4a
7fad6967 7fa59530 42e6e505 42e6e505
805bf2e5 805a5161 3ecbe682 3ecbe682
7faee632 7facc0fb 4098cdfd 4098cdfd
7f9ff797 7fac4f99 39087d42 39087d42
7fa2562a 7fabeeb0 3a80dfaf 3a80dfaf
7f8a83e9 7fa13c5d 344e9c66 344e9c66
7fa8afad 7fa69cc7 40bbd354 40bbd354
*7f9b17ec 7f960bae 41d4ef0b 41d4ef0c
7fa28b77 7fa42cf1 3eb4ecc0 3eb4ecc0
7fac4234 805b3b24 bd1d7570 bd1d7570
805ac9b6 8059ce2b 3f4aaa04 3f4aaa04
*7fa640fb 7fad552d 3c238254 3c238253
*7fadfb1b 7fa2832e 44f4bcda 44f4bcdb
7f9120a3 8058c4d3 cc342aff cc342aff
7fac5159 7faed14b 3eb3c00d 3eb3c00d
*7f886800 7faa4c74 2ed942ae 2ed942af
7fa1547a 805eaa51 c0011d35 c0011d35
*80531147 805da5d4 449cb223 449cb222
805d7b9c 7f92568e b7ec010b b7ec010b
8057f248 7faed017 c38284e9 c38284e9
7fa7d597 805b038f beea0b91 beea0b91
*805c75ea 7f8544d1 b14d0e1d b14d0e1c
7fa42ed6 7fa46831 3fd307de 3fd307de
*7f80852a 805c046d d2c5bddb d2c5bddc
*7faf6bbf 7fa09733 4726b204 4726b205
*7fa155a2 7fa39a94 3e25d9f2 3e25d9f3
7f83fd93 7fa576ec 2ee6b81e 2ee6b81e
7faf9f01 7f81ac93 56501de0 56501de0
7f88387d 7fa0f4a3 336d83f8 336d83f8
7fa805b9 805b0d85 bed35795 bed35795
80566b8f 7fa7a4bc bf5845d8 bf5845d8
7f9ef151 7f98f35c 41ebd672 41ebd672
7f9132bd 7f8df989 412e1af8 412e1af8
*7fa78732 7f9e09da 44884164 44884163
7faf231e 8056ffdc be094c6e be094c6e
7fab7e9b 7f8ef1ef 4e374779 4e374779
7f9cfc7c 7fa305cd 3c30b158 3c30b158
7fa6c169 7f9b42b6 455b187b 455b187b
7faa05a9 7fa24003 43682c27 43682c27
7fa55dd6 805883e7 c171706a c171706a
7f851744 805c1f3f cf83085d cf83085d
7fa62541 7faf4b7a 3b527ff9 3b527ff9
7fae5f42 7f970632 4a8d984c 4a8d984c
8057cf61 7f7a513c aab9f8e8 aab9f8e8
7fa7a257 7fadf4a2 3c9fa18d 3c9fa18d
7f6f08dd 7fa6019a 288ca020 288ca020
*805977bb 7f957fdd b79d9d24 b79d9d25
7fa9a6af 7f43425f 61d1eb71 61d1eb71
8058b491 7fac973d c2f6bca4 c2f6bca4
7fa71bad 7fa22c4d 422c2739 422c2739
*8057572b 7fa0c25c bc3a92f9 bc3a92fa
7fa3465f 7fa00bbc 419c233d 419c233d
7f9ab330 7f94c41a 42493846 42493846
80523807 7fa4b0c2 bc7c2db9 bc7c2db9
7fab2469 7fa57e67 421a34a5 421a34a5
*7f82f1fa 80587e00 d31afc57 d31afc58
7fafe074 7f8bd219 512a95c7 512a95c7
7fad2ec3 7fab04dc 40a40100 40a40100
*7fac72ed 8051eb42 c0de3c36 c0de3c37
*7f782dd1 7fac5361 27ddd7b7 27ddd7b6
*7f91bf91 8050b1a0 ceedddd8 ceedddd9
7fa7583e 7f854ad7 50c567b9 50c567b9
80529ce3 7faadb1a bf3ef74f bf3ef74f
7f54c2d3 7f96f956 292facaf 292facaf
7fab8cbb 7fa8fe53 40d17700 40d17700
7fa0cf61 7fae299d 38eac438 38eac438
7fa3d96e 7fa27577 409a4620 409a4620
*7f934c92 805f6629 c6b322fb c6b322fa
7f96e797 7faa75a9 35d9af50 35d9af50
805d8fc6 7faf4d3a c6933bab c6933bab
*805ceb20 7fa73610 c2d8be5d c2d8be5c
*7f9d66c4 7fa85eec 39a6bffe 39a6bffd
*7fa1abb9 7fac4328 3a00fda0 3a00fd9f
7fa7ac25 7fae96d9 3c61d116 3c61d116
7fa37938 7f897e1c 4c38e2f7 4c38e2f7
7fa6f5c5 805524f0 c25229e3 c25229e3
8052a2b0 7f9d43d3 b7f9084c b7f9084c
8053bbc9 7fa61be9 bdc59ff7 bdc59ff7
7fa50170 7faab18e 3c9735d7 3c9735d7
*7fab40d9 7fa7a421 4138eb2d 4138eb2c
80595378 7faefca2 c44ad75b c44ad75b
805dc009 7fae54e8 c65f8807 c65f8807
7fa9ae30 805900e2 bf10fbb2 bf10fbb2
7fa5f90a 7f701a88 569df25d 569df25d
*8057fd84 8053b913 3d95dd34 3d95dd35
*7f4ad22b 7f557690 3cd0aa7e 3cd0aa7d
805cb9f5 7fa74f9b c2c568a9 c2c568a9
8054ad5d 7fa4b778 bd72ef41 bd72ef41
7fab08ae 7f880fba 50fd00e1 50fd00e1
*7fa6632c 7f8f0a89 4b8a1a42 4b8a1a43
7fad5389 7fab108a 40ab2517 40ab2517
7fac7a1b 7fa85c37 415a1a2d 415a1a2d
*80511478 7fa2dd48 bae32f23 bae32f22
80501ed9 7fae6802 bf9cc59e bf9cc59e
7f9bdba2 7fac98f4 379613c2 379613c2
7fa6c439 7f9e0492 44228968 44228968
7f9eec76 7fa6e311 3acf263e 3acf263e
7f9ad496 7fad640b 369b2d4c 369b2d4c
7fa37c05 80542e84 c4cb15f4 c4cb15f4
7f98ccaa 7f6f2400 506accd5 506accd5
7fab1195 7fa9d0a0 406376c6 406376c6
7f0b25ee 7f9d1b36 19ee0339 19ee0339
7fadff24 805cbca1 bb8ad9b6 bb8ad9b6
7fa17fda 7fa910b9 3b2ba20e 3b2ba20e
*80568d42 7fa1d627 bc9605e6 bc9605e5
*80579e55 80548c65 3e35ebb9 3e35ebb8
7fae92c7 7fa91e2f 41bccfeb 41bccfeb
7fad9d1f 7f848025 538e67a1 538e67a1
7f95e8ac 7f84edce 485fe49c 485fe49c
7f9358dd 8059871d ca399be7 ca399be7
*7fae59a2 8057498e be2ccf86 be2ccf85
7f9d4e4f 80594df8 c5ab7b94 c5ab7b94
*7f990a03 7fa85395 383bfe66 383bfe67
7fa2d517 805adea4 c1bd70f8 c1bd70f8
7faf9d23 7f4f87b7 615230bc 615230bc
*7fa42c9e 7f98444b 454d3a4a 454d3a49
7fa9cfd3 805701b3 bfbcef48 bfbcef48
7fa700c9 7fa96cf7 3e79baca 3e79baca
7f90fbe3 7f965ace 3c27ed06 3c27ed06
80558f1c 80524dc5 3e3f0166 3e3f0166
7fa22f1a 7fac8fe7 3a2fb4e4 3a2fb4e4
*7fabbabd 7faaad0c 4050e115 4050e114
8057bd4d 7f493b21 9f4af1e4 9f4af1e4
7facfad2 7fa9ec68 40f1741d 40f1741d
7fadd9c0 7fa8b58e 41aa23af 41aa23af
7fa49973 8055970c c3852d55 c3852d55
*7fa9ff67 7fa19444 43d4c4cf 43d4c4ce
*7faf8373 7fa7d926 42924346 42924345
805a49d3 7fa41ce8 bf5d3615 bf5d3615
*7fad7032 8054493a bf8093e7 bf8093e8
*7f86c4b0 7facf3a5 2c953041 2c953040
7fac225f 7f9ff5fd 46159791 46159791
7fa1108d 7fa99291 3aad4718 3aad4718
7fa44437 7fa6c1b9 3e3fc683 3e3fc683
7fa68637 7fa06696 42fca9c5 42fca9c5
7f8af2dc 805796c4 cf2b1ad4 cf2b1ad4
7f93f24f 7fab00ee 33d18dbf 33d18dbf
7fae587c 80507f5e c09669ad c09669ad
7f96cf0b 7f8454cd 48f9903e 48f9903e
80581622 7fa560ac bf0d0e60 bf0d0e60
7e988693 80566e9d f089a1e2 f089a1e2
7fa5e00b 805b7da6 bf77989f bf77989f
7f9707a1 7fadf62c 344c5d48 344c5d48
8050de53 805b99f2 443591d9 443591d9
805464cb 7fa6be5f be4a220e be4a220e
*7fa71799 7f9fd137 439cc6cf 439cc6ce
7fa4b48c 7fa7f5ec 3dd380fb 3dd380fb
7fab828e 7fa91956 40c4bb2f 40c4bb2f
805eb44b 7fa29a28 c11faa26 c11faa26
7f9203e3 805368d7 cdeb103e cdeb103e
7f952028 80548480 cbb36c7d cbb36c7d
*7fac822d 7fa9445f 4106bb13 4106bb12
7fa71ea8 7f86107b 5061f587 5061f587
*7facad37 8051aebc c0ddae6f c0ddae6e
7f94113d 7fa92f63 34bfaaf0 34bfaaf0
7faaf31c 80512746 c20575c2 c20575c2
*7faeb382 7fa7a052 42654799 4265479a
8052d601 7f917842 b2a51092 b2a51092
*7fa0cfb5 7fadc91d 3907d518 3907d519
*7f93c6cd 7f9f0670 3a32f0c6 3a32f0c7
7fa5696f 7f9972d0 45764afb 45764afb
7fa83f59 80563924 c0f3043c c0f3043c
805a8284 7f622c05 a5f017f3 a5f017f3
7fa5bc5c 7fafebf2 3ae501e5 3ae501e5
*805edc29 7f9bf76c be31a8a5 be31a8a4
7f889459 7fa95eac 2f806668 2f806668
7fa01e77 7fabe172 394012fe 394012fe
*7fa94a9e 7faefa9f 3d100121 3d100122
7f93ba51 7faf6fb5 320a6efc 320a6efc
7fa8594b 7fa9fb9e 3efe666c 3efe666c
7fab5128 7fa1a3ea 4463728a 4463728a
7fa8f63d 7f84b93e 51a2d837 51a2d837
*7fa1139e 7fa14f87 3fc8a038 3fc8a039
7faf29ef 7f98c98b 4a0ed910 4a0ed910
8052f370 7fa8202b be5e0e84 be5e0e84
7fa19314 7fa4070e 3e0a4f5d 3e0a4f5d
*80596fb3 7fa0bd03 bd373eee bd373eef
*80506bd4 805c6323 44e18474 44e18473
7f91ba5c 7fa1fee8 37c30e13 37c30e13
7f151931 7fa24ce1 19cd91d6 19cd91d6
8052bbec 7f35819a 9b9fd1fc 9b9fd1fc
7faeb004 7f973234 4a956cf9 4a956cf9
7fa2d4f0 7faf1a37 39b00897 39b00897
7fade5fb 7fa590db 43174c33 43174c33
7faff03d 7fac95ff 40f02f9c 40f02f9c
*805129f5 7fa2c52c badb800a badb800b
*7fabb036 7faf0ff0 3e431960 3e43195f
*7f90ac0e 7faba073 31a7d6a5 31a7d6a6
7faba5ed 7f92fff8 4ba432cc 4ba432cc
805e659f 7fa75623 c3ee53c1 c3ee53c1
7f911fd6 7fa6bba6 340d7157 340d7157
7fa2f696 805435d8 c514f495 c514f495
*80503ba1 80515c35 404b58e3 404b58e4
7fab8bfd 7f91971a 4c873a49 4c873a49
*7fa817db 805e8291 bcfacaf4 bcfacaf3
7f9a02cc 7fa8537d 388dd794 388dd794
*805fdec6 7f911ac4 b8e96cb9 b8e96cb8
80511f09 7f8fda83 b07d58d9 b07d58d9
7fa70ff3 7f9c40dd 450f6e38 450f6e38
*80504a1d 7f8bbae7 aeda071e aeda071d
7fa6add1 80516925 c423370e c423370e
805ee55b 7f9b7b88 be0ace8f be0ace8f
7f9f1328 805f2f77 c1371e11 c1371e11
7fa4ac7d 7f88dd92 4d4d834f 4d4d834f
7fa0989d 805391d9 c6a8f6ef c6a8f6ef
7fa3f528 7ec46334 6cab57ae 6cab57ae
7fa2c150 8058a1a6 c328a270 c328a270
80565996 805359ed 3e53452d 3e53452d
*805c337f 7faa4ebf c3f563fb c3f563fa
*7f77c1ee 7faef4cf 2668cbf7 2668cbf6
8052f065 7f93ab6a b42e2b43 b42e2b43
80524ebb 805f23e3 4616cf54 4616cf54
7f8091ce 7f872b6a 3b7138fb 3b7138fb
*805e18b2 7f8c5360 b5e314f8 b5e314f7
*805fa090 7fa0676e c007cb9c c007cb9b
7fa638ba 7fa3c503 40fe0330 40fe0330
7fa63248 7f8145b1 5247de6f 5247de6f
7faad9db 7f874b5e 5138b06a 5138b06a
7fa4b8da 7fa11295 41b5c979 41b5c979
7fa997db 805aeb21 be49b520 be49b520
7fa56402 7fa471b6 405ed023 405ed023
7fa0bdf9 7f9439b7 453e8eec 453e8eec
7f86112d 7f94aba8 388a5e68 388a5e68
*7fa507fc 7faae84e 3c817c01 3c817c02
7faec78a 8055c5f6 be9c7c11 be9c7c11
80550529 80544bd5 3f94f743 3f94f743
*7fa01bc9 7faa0d25 39e4b656 39e4b655
7faf3423 805ee352 b9697cbe b9697cbe
*7fafd31b 7f80e52d 5711b5a7 5711b5a6
7fa32f27 805feee4 be72a36a be72a36a
*7fae4671 7fa2634a 452bfbef 452bfbf0
7faed238 7fae198c 40311523 40311523
7fa69c95 7fa2015f 420bf017 420bf017
7f9c10e3 7fa4e305 3abfef41 3abfef41
7f8192a1 7f99b552 32efccef 32efccef
7fa2fc92 7fab013d 3b3fd271 3b3fd271
7faedc07 80510504 c00ffce9 c00ffce9
7f9fc885 805340b0 c727b6a8 c727b6a8
7f9bbf58 8052e0d5 c8c14c64 c8c14c64
7fae41ed 805cbbb3 bb6fb88c bb6fb88c
*8053e5d8 805e262e 44981dc4 44981dc3
805ffc63 7fa1c584 c1950dda c1950dda
7fa10703 7f9c7c9f 41904c5f 41904c5f
7fae8137 7fa4ecdb 43a99229 43a99229
*7fac647e 7f9cfb35 47accb14 47accb13
7f8ac97b 7f9ad702 37f7ef9c 37f7ef9c
7facbbe9 80553396 bf6c1518 bf6c1518
7f6585b9 7fa92067 23f258e5 23f258e5
7fa3b132 80590aba c246ae5b c246ae5b
80568be8 7fa06d1b bb9a7c7b bb9a7c7b
*805e3e51 7f91c887 b806284f b8062850
80598d82 7f5df049 a467210b a467210b
7fa1eeff 7fa0196b 40e9574f 40e9574f
7fa25c9d 7eda9f1a 6a7bddf6 6a7bddf6
*7fa8fdae 7fabea20 3e5301ff 3e5301fe
80521cd1 805aecae 43584c93 43584c93
805c038a 7fa47970 c061aa31 c061aa31
7f9633f9 7f8404e7 48df6a09 48df6a09
7fa0638f 7f9a6d9d 41ec0d41 41ec0d41
7f9fdbce 7fac671a 38f93027 38f93027
7f5607ba 7fab07e4 1ffecede 1ffecede
*7f4d0a71 7fa213cd 21f75b66 21f75b65
7f907d64 7fa1563a 3737e250 3737e250
7f9cf5ce 8059eef0 c58036be c58036be
805c5e1e 805fe33a 41bf6967 41bf6967
7fac02bd 7fa49cd0 42df0eb1 42df0eb1
80513975 805a7da3 43724aed 43724aed
*7fae8bc0 805d9793 bab991fc bab991fb
*7faa60df 7faa8e7d 3fe48429 3fe48428
*7f63462f 7fab9a53 22392d20 22392d1f
80550c21 8050c023 3dcccb5c 3dcccb5c
805931e5 7faf6cff c4639bb7 c4639bb7
7fab4ea0 7f96cc92 4994f289 4994f289
7fab0753 80505ac2 c2559458 c2559458
7f984cd7 80543938 ca00a14a ca00a14a
7fafc7c1 7f8dd432 5085fad5 5085fad5
7f9f3f01 7faacf13 3952f89c 3952f89c
7f8f3f38 7fabc5ed 310028b9 310028b9
*7faf084a 7f8e5e3d 502ccc15 502ccc14
7f73af8a 7f9d4f96 2e1b5d97 2e1b5d97
7f666a25 805b0ac6 d95622f4 d95622f4
7f94db6f 7f4ddda5 568d41b7 568d41b7
7fa2a256 805da8b0 bfdf46bc bfdf46bc
*7fa016b8 7fa508f8 3c3cd84c 3c3cd84d
7fa8b377 7faa8470 3ee771ff 3ee771ff
7fa677e6 7f96ab9a 47db8272 47db8272
7f905a40 7f8a3a77 41f9b8f6 41f9b8f6
7f0f62a0 7f3825a8 3580d019 3580d019
*8051130e 7fa6d6ab bd2ad01c bd2ad01d
805c3663 7f92805b b771a102 b771a102
7fad6549 7f992a51 49584610 49584610
805a20c4 7fae7855 c483cf2c c483cf2c
7f76bd38 7fa65727 29cd082e 29cd082e
8057b883 8050d11c 3c75268a 3c75268a
7f6ec502 7fa1d947 2a7b3767 2a7b3767
7faf4971 80565823 be3e7c34 be3e7c34
8058306e 7f8c07d3 b2689d69 b2689d69
8057293f 7f96397c b70f1693 b70f1693
7fa8db3c 7f89cac5 4f6b67c8 4f6b67c8
7fa174e1 7f41752f 602c8aeb 602c8aeb
805d74a6 7fa89e21 c3f282b6 c3f282b6
805c2867 7f893f87 b36d027a b36d027a
*7faf10d3 7fad9d49 40645dfe 40645dfd
*80575b8b 805c8579 421eece2 421eece3
*7fa63b6b 7fa383f2 411d18c7 411d18c8
7fa2d55a 7fa54c3d 3e260bb0 3e260bb0
7fa1213c 7faa30e5 3a76ed33 3a76ed33
*8051d1b6 7f8d729b afcd0327 afcd0328
*7f9b69af 7fa4a710 3a9e5fe0 3a9e5fe1
7faca8e4 7faed613 3edee4df 3edee4df
805ed7f5 7fae7a9a c6fe6224 c6fe6224
7f88cae9 7fac8cce 2de4ef63 2de4ef63
7fa321aa 8052db9e c57f04b7 c57f04b7
7fab62e1 8051f99f c167f7ba c167f7ba
7f4ac70a 8051959b e25fd022 e25fd022
7fae866d 7fa477e2 43ee418f 43ee418f
8054713a 7fa9e94f bf7ddff9 bf7ddff9
*7fa67183 7f871dc9 4f88c2c4 4f88c2c3
*7fab7eeb 7fa4f7ef 427d85a5 427d85a4
*7faa3f55 7faff024 3d262ecc 3d262ecd
805ee13f 7fa0b0d8 bfcb51c4 bfcb51c4
7f86de5b 805a6028 cf8a2a6f cf8a2a6f
7f86a31d 7faff6ec 2b54c56c 2b54c56c
*805a504d 7fa48a06 bf8d9d00 bf8d9d01
7fa0a7c4 7fa007e4 404fc8a7 404fc8a7
*7faeea2f 7fa6508b 43154f09 43154f08
805ffed0 7f9274f7 ba2035ea ba2035ea
805ada90 8050a694 3acae676 3acae676
7fa94260 7f93897d 4a57d6cc 4a57d6cc
7fa6f71f 80594130 bfec31f5 bfec31f5
7fa2ff3a 7f5a35db 5a717667 5a717667
8059e7c4 805c419a 40f3dbe0 40f3dbe0
805b966e 7f37b8a1 9e238282 9e238282
7fa582cb 7fae95a9 3b40d158 3b40d158
*8052b091 7faf6a8f c1129243 c1129242
805ad742 805e46ff 418d1cf9 418d1cf9
7f04b8c1 80505a0e eb5bd828 eb5bd828
805cdca8 7f6fa02d ab241997 ab241997
7fafc49d 7fa7b3ea 42b8dce5 42b8dce5
7fa14101 7e7071f0 707480c4 707480c4
7fa80df8 7fae1da9 3cc79d72 3cc79d72
80530811 7fa7d295 be459cd2 be459cd2
*7fa5d193 7fa44913 409aca71 409aca70
7fa7e0a3 7f9bbf15 45c4dbcf 45c4dbcf
7fa266bc 7faae8b3 3af0fd15 3af0fd15
7f86c87d 7f90709e 3b16358e 3b16358e
805f31c6 7fad92c2 c6e85ed3 c6e85ed3
7fa617d9 80519882 c45fa7e1 c45fa7e1
*7fa87b75 7fabc9f9 3e189a67 3e189a66
7f93a1a4 7f8eb4f3 423aa4c0 423aa4c0
7fa95cbb 7fafe8ac 3cb7a2df 3cb7a2df
7f928b7f 7fa1d831 385062ab 385062ab
7fabb2a4 7fa31692 439bbe41 439bbe41
7fa9856e 7fa50f5f 41b1d45c 41b1d45c
7fa2ff3c 8052cb2c c597cb58 c597cb58
7f8b9e43 7f9d63c4 37091bd6 37091bd6
7fa5e1be 80506cff c4e95c3b c4e95c3b
8053c142 7f91c1f5 b3467a17 b3467a17
*8053382b 7f9551a4 b533318c b533318d
*7fa792dd 7fa919ea 3f06bc9e 3f06bc9d
7f962ba0 7fab541e 34fae504 34fae504
7f5f5c83 7f9c9bf7 28dbdedb 28dbdedb
*7f87f3c1 7fa98dc0 2eff49cb 2eff49cc
7f8d9f93 7fa112c0 35e16e6c 35e16e6c
7fa4e1df 805962c8 c139ada2 c139ada2
7faf60bc 7faadece 41578e17 41578e17
7f6f0896 8056c8a0 d914105c d914105c
805bf18f 7fa7d267 c2877215 c2877215
7fa02b69 7f96a496 436d0072 436d0072
7fa2b137 7fa31bf3 3fa6a1c7 3fa6a1c7
7fa21aec 7faea2c5 3974a7b4 3974a7b4
7f95dcb5 7f646559 519c5e6d 519c5e6d
*7f93ea22 7fad9f92 32c193f4 32c193f5
7fa56249 7fac0ffd 3c31337a 3c31337a
7fac4257 7f0851a1 699b6ce5 699b6ce5
7fae5653 80563768 be964d87 be964d87
7fadf035 7fa3b89b 44250935 44250935
80524764 7faa328c beec88c4 beec88c4
7fa4093e 7f276d96 6410baa2 6410baa2
7f14f953 7fa1f973 19e4f57e 19e4f57e
*7fa45651 7f6b156d 571af104 571af105
7f9857fb 80585f8f c7c1da8f c7c1da8f
*80534f0d 805c95f0 43d29cf0 43d29cf1
7faa5902 7fa7a55f 40e9ff4a 40e9ff4a
7f9e8e8f 7f8a13fc 495fbc6a 495fbc6a
*805004ee 7fa29655 ba3c42d3 ba3c42d4
7fa31af0 7faa5def 3b97ec2b 3b97ec2b
7f947765 80526237 ccf1754b ccf1754b
7f38bb6e 7f94813f 23112acf 23112acf
7fa4f88b 7fa3fddd 40645051 40645051
7f827a38 7faf343d 2979701b 2979701b
7fa66013 8055817c c27cc880 c27cc880
7f92e9b9 7f96b13c 3d55d29a 3d55d29a
7fa97f59 805e136a bc9eaeb4 bc9eaeb4
7f9519b6 805fdf6c c58874e8 c58874e8
7fa394e7 7fa401b8 3fa8f9e1 3fa8f9e1
7fab380a 805d1440 bc7dd2bc bc7dd2bc
80521523 8055c4e6 411fd51a 411fd51a
7facf3ac 7fa5aa0a 42b0ed4f 42b0ed4f
7fa9c69e 7fa82bb7 4087fff3 4087fff3
8052be49 7fabdbc1 bf9934a6 bf9934a6
7fadf09d 7fafb1f6 3f1d2a50 3f1d2a50
7fa185c9 7fa7b73b 3bd25e24 3bd25e24
*7fa7ddac 7fa44412 416bcdca 416bcdc9
7fa392fa 7fa5ce49 3e5ccd70 3e5ccd70
7fa3f608 7f846310 4faa6e3c 4faa6e3c
*7f9b0b08 7f97a768 41257089 4125708a
7fa95cd7 8051187e c2de7b85 c2de7b85
7f543fa1 7f9aa5bb 27af979d 27af979d
*7f88c50c 805f2781 cc3ca4b0 cc3ca4af
7fa92692 7faf8e1a 3cc0ad0c 3cc0ad0c
*7fa03fff 7faac88f 39b51db7 39b51db8
*7f99c1ee 7fa67142 392e8638 392e8637
*7f93e020 7f881e25 452f859a 452f859b
7fa5ff5c 7faa3010 3d709b88 3d709b88
8050d018 8052e054 409119ce 409119ce
7fa0a153 7f95804f 4460104c 4460104c
7f91cfba 7f8a82ba 42bfefb9 42bfefb9
8054f037 7f936fe8 b4dca3a3 b4dca3a3
*7f930205 7fa59189 3619b940 3619b941
7fa4781c 7fa268de 40e51da1 40e51da1
7fac3237 7fa96853 40e0c7eb 40e0c7eb
7fac20d4 8055b33a bf71a01a bf71a01a
805ef9db 7fa098ef bfcb5c27 bfcb5c27
*7fa81c19 7f965826 48a1d005 48a1d006
7f940282 7fa43ceb 37d1d242 37d1d242
7fa0df4c 7fa8fb1c 3ace76ca 3ace76ca
8054d5da 7f91f6c8 b3e71258 b3e71258
*80542730 7f9dae37 b8fd0ae7 b8fd0ae8
*7fad3850 7fa5067b 431e363f 431e3640
8057d239 80520e2a 3ceb506f 3ceb506f
7fae01df 805bf968 bc033425 bc033425
7fa0e545 7fab3a30 39edb9c1 39edb9c1
7f3ff8db 7fa8b77e 1d2d2962 1d2d2962
7fad485a 7faf01c4 3f1c395c 3f1c395c
7f82c397 7f9c5b3e 3296684e 3296684e
7faa3bf3 8055b0ca c00bb6c6 c00bb6c6
8056e51d 7fa7844c bf75af6b bf75af6b
7f82ca33 7f972c06 34f96463 34f96463
8055b1eb 7faeee7f c264a96b c264a96b
7fa146cd 805c9709 c1c2364b c1c2364b
7fa672cc 7fa5f959 402c3730 402c3730
7fa82d0c 7fac24b6 3dbe93eb 3dbe93eb
*7fa4e7d8 805973d7 c12a4312 c12a4313
7f91777e 7f96b547 3c4e9618 3c4e9618
*7faf6d30 8050e8ca bfe9e090 bfe9e091
80577f5a 7fa45509 be5bee6e be5bee6e
805a5ca1 7fa03b19 bd55b51e bd55b51e
7fafafad 8059c9d2 bc9667c7 bc9667c7
*7fa123e3 7f8cbc1c 498b3ef3 498b3ef2
7fae1edb 7fab8084 40c2fe61 40c2fe61
7fa76a21 7fadce87 3c919880 3c919880
7f85295a 7f911c07 39e50768 39e50768
*8054fd8f 7f76931b a829b244 a829b243
*80540e88 7fac67af c0429692 c0429691
*805137b9 7f92b1bc b2d3b9d6 b2d3b9d5
7f83d6c8 80563549 d3b15fa1 d3b15fa1
805cc210 7f920873 b776b38f b776b38f
80529cf8 805df9ca 450b11a0 450b11a0
7fa25d18 7f8e4aa7 49b31cfe 49b31cfe
7fa42f12 7faf1f28 3a60722a 3a60722a
7fa096e3 7fa6cc09 3ba4a976 3ba4a976
805d18f2 8052f3fb 3a6981fe 3a6981fe
7fae5eb2 80514804 c02e81b7 c02e81b7
80521323 7f9deb2c b7ff8c38 b7ff8c38
*7fa8140d 805f2c14 bc8d971f bc8d971e
*7fa1e991 7fa672bf 3cc45e2a 3cc45e29
7fa07419 7fa3fcb4 3d2bd96e 3d2bd96e
80556856 80588825 4110a4f6 4110a4f6
7f728c9b 7fa751e8 28aba9ba 28aba9ba
7faefae5 7fa39ce2 44a2fbb9 44a2fbb9
805b4e9c 7f818ce8 ae914aca ae914aca
7fac2506 7f9ceecb 47906b96 47906b96
7fabb521 7fab1feb 402c01e7 402c01e7
80521447 805703be 41948b98 41948b98
7faf8326 7fae394e 40574e90 40574e90
7fa57b23 7fab3846 3ca06e5f 3ca06e5f
7fa9593a 7fa78f6b 409b738b 409b738b
7f63c044 7fa8dc5f 23811edb 23811edb
7fa5c8b9 7fa7b728 3eb26c35 3eb26c35
7fa414a7 7f9e43e7 429da73b 429da73b
7f9e8d25 7fa650ab 3af3e45a 3af3e45a
7f8ff94c 7f843d30 44a373f0 44a373f0
805e07d2 7fac12f9 c5c243e7 c5c243e7
7fa43140 7fad4e65 3b0632dd 3b0632dd
*7fa263a3 7fac2f92 3a7057d9 3a7057da
80525db0 80506b76 3f039352 3f039352
*7fa8428c 7fa68ef1 409a7b31 409a7b32
7fadb9d9 7f954c5e 4b2a62b8 4b2a62b8
*7fa2be82 7e9e2990 6e5eb7d3 6e5eb7d2
7f96234a 805b010f c7909b87 c7909b87
*7fa6a67a 8058cb7f c061edab c061edac
*7fa5a73d 805964fe c0ac89c9 c0ac89c8
7f91c611 8050b8e3 cee86f82 cee86f82
7fa4660b 7faf8984 3a59570b 3a59570b
80598460 7f941a93 b70da33b b70da33b
*8058ee80 7fa2676a bdf8f1a7 bdf8f1a6
*7f958c57 7fad548d 33c139ea 33c139eb
*7fa1f0ec 7fa44a8d 3e259abb 3e259aba
7f8d8759 7faadf6e 30ca6935 30ca6935
8056b1f5 7fa22802 bcd9a6e7 bcd9a6e7
7fa833fa 7fa8a2e3 3fb7f833 3fb7f833
7f89ca37 7faf95d1 2d107534 2d107534
7fa38250 7f9a4908 43e01115 43e01115
*7fa1bdd3 7f976c95 441e51bb 441e51bc
8055c766 7fa83060 bf53f221 bf53f221
7f969835 7fa949b6 364bbdf5 364bbdf5
805554e4 7fad09ed c14e5926 c14e5926
7f840001 805b1c4a d0ae68a9 d0ae68a9
7fa53a4d 7fa04c1c 426b953f 426b953f
805465ff 7faa8f31 bfafa1ff bfafa1ff
7f9aac11 7f92cc01 435a0a76 435a0a76
*8055ab7a 7f690551 a5bae22f a5bae22e
7fa9b21c 805a117a bea083b1 bea083b1
7fad1f85 80570823 beab325c beab325c
7fa3777a 7f6cb002 55f095c1 55f095c1
7f9330f1 805d2995 c7d98e64 c7d98e64
7f99b8f5 8056b7ff c7dc41d4 c7dc41d4
7fa431ba 805053f4 c5cc5f4d c5cc5f4d
*7f9305fd 7fadca32 3237a6e0 3237a6e1
*805d7d8b 7fa65f79 c2c34b4f c2c34b4e
*7f8208c2 8051af43 d67b5860 d67b5861
7fa6b36d 805e052f bde63c3f bde63c3f
7faf7976 805430f8 bef2086e bef2086e
805464a7 8053fba7 3fc4094f 3fc4094f
8055e03b 7fab1815 c092a323 c092a323
*7fa200d6 7f8566c6 4d759faf 4d759fb0
7faf490c 7fa6657e 432cd3a8 432cd3a8
7f92aaaf 80552949 ccdf2bea ccdf2bea
7fa17d92 7fab562d 3a3cb385 3a3cb385
7f9505f4 7fa0c6a0 3a068510 3a068510
*7fa1bbaa 7fa3d851 3e4c197f 3e4c197e
*7fa5efe7 7f7393d0 559c41b7 559c41b6
7f9e5cfe 7f1970b6 643a958f 643a958f
*7fa3ffdc 8050ea29 c5b4be80 c5b4be81
7fa44b34 7f93df87 482b5860 482b5860
7f808d9a 805379b2 d6b70cb3 d6b70cb3
805a62a5 7fa82342 c1ac2506 c1ac2506
805463dc 7faeadd8 c199c428 c199c428
*7f613068 7f910e85 2f910380 2f91037f
7f6278a9 7f98eb58 2b1108f5 2b1108f5
7f2aa166 7f8a2d9b 25071e04 25071e04
805b2383 8052bd8c 3b685605 3b685605
*805f12aa 7f9a961c bdd02536 bdd02535
7f9e666f 7f9f7a5f 3f73be4d 3f73be4d
*7fa3d675 7faa56bc 3c0d00ee 3c0d00ed
7fa27abc 8052e91e c5d5f5b9 c5d5f5b9
8057a1ca 8051adf2 3cdbdff1 3cdbdff1
*7fa2a64e 7fab783f 3adcd711 3adcd712
*7fac04ae 80552108 bfa88e81 bfa88e82
7fae615d 7f7a6784 5852a01f 5852a01f
*8056cefb 7fa107ab bc2a814c bc2a814d
7faf1eb7 7f6d3a9e 5b024500 5b024500
7fa628b4 7fad8014 3c04b042 3c04b042
*80567a22 7facf7b0 c1e71121 c1e71122
*805e87c0 7f866366 b383dcdd b383dcde
*7fafcb59 7f82c1d1 558f7983 558f7984
*805318ef 8056457c 40fca736 40fca735
8051a823 7fa1a0ae ba3ab141 ba3ab141
7f97bcaa 8051737e cb915716 cb915716
*7fa65122 7f9dee87 43edf3c1 43edf3c2
8057b8f9 7fa7f946 bfe60dbb bfe60dbb
7f25fc66 7fa3974e 1bc17ab8 1bc17ab8
7fa96cc3 7fa6e915 40e0c371 40e0c371
80528e91 7f44b79a 9d95bb6a 9d95bb6a
7f9dc4b5 7f72682f 5172f00c 5172f00c
7fa4bd0a 80584f1e c1fe781f c1fe781f
7faa0f97 7fa74b9d 40f32165 40f32165
*7f92e9b6 8058f1f1 cadfe04a cadfe049
*7faa721f 7fae31e6 3e036c4f 3e036c4e
7fa1410f 7fa481b6 3d765638 3d765638
*7fa57d82 7faab5ea 3cdf79a4 3cdf79a5
*7fad73a8 805fefa2 b9552cfe b9552cff
7fa8e7d0 805eb4b8 bc7aa2d0 bc7aa2d0
80547157 7f9ccaa6 b8af99ad b8af99ad
*7f92d545 8051e4ff cdfdaa6f cdfdaa70
7fae54f4 7f652730 5d0ffb9d 5d0ffb9d
7fa099b6 7f6c64b5 53f74f9d 53f74f9d
7fa0b7c5 7faa0458 3a47fc97 3a47fc97
7f82ad28 7f9e1973 31ed8734 31ed8734
*7fac56cb 7fa54500 42a8b54a 42a8b54b
7fa1d306 805d0e92 c0f1e8ab c0f1e8ab
805f4959 7fa67e50 c41c82d9 c41c82d9
7fac1b4b 805ba024 bcf6c733 bcf6c733
805bc350 7f9bdca1 bc60ed28 bc60ed28
7fa8aeb6 7fab0ca9 3e999353 3e999353
*80546c9f 7fa4ca01 bd636d82 bd636d83
8056accd 7fa02fef bb7be583 bb7be583
8052b852 7faf5b83 c10f5d63 c10f5d63
*805b3c98 805724f1 3d5d9c1f 3d5d9c20
*7fa42585 7fa1e967 40ff8704 40ff8705
7fa754e1 7fa6ddd6 4029a5dd 4029a5dd
*7fa144ea 8052917a c69ca784 c69ca785
7fae02c9 7f85a9bb 53153316 53153316
7fa47ae1 7fa557aa 3f5a7b55 3f5a7b55
7fac4845 8055bfca bf6182cc bf6182cc
*7fa7e923 7faa4b0e 3e8cd9dd 3e8cd9de
7f965472 7f98c809 3e6acc9a 3e6acc9a
805fa2b8 7f8dc9fb b735e3ce b735e3ce
7fa223ce 7f8e2f6b 499d7f7e 499d7f7e
*8051bd8c 7fad4e08 bfbd464c bfbd464d
7fa3efde 7fa16044 412dd779 412dd779
7f83021b 7f884eb6 3c830940 3c830940
7fa8ec1f 7f89f84d 4f5ac675 4f5ac675
7f761b57 7f99b7fb 306a4906 306a4906
7fa7de58 8058223c bfffce64 bfffce64
*7fa2efab 7fa0ca76 4105ab62 4105ab63
7f9d409f 7fa65161 3a7c5908 3a7c5908
7fada673 80567ea7 beb32c92 beb32c92
*7fae739c 7fa0961e 46b00071 46b00072
7fa7491d 805d13ec be27bc31 be27bc31
8056e77e 7fa4ef8f be690f7e be690f7e
7fa39293 7f856c6a 4e9e1d9f 4e9e1d9f
805585ad 805adbb0 4204e4df 4204e4df
7fa26f07 805c6d2c c0ee86d2 c0ee86d2
7fafcb3f 7f880668 5296418f 5296418f
*7fa1c846 7f8543b3 4d6143d3 4d6143d2
*7fa0dd4a 8054374c c649cc51 c649cc50
7fa1be19 7fa0a88a 40854b6a 40854b6a
7f9471cd 8053865d cc832937 cc832937
7fa5f977 7fab1630 3cfaf131 3cfaf131
*8057bdab 8057b78e 3ffbf8d4 3ffbf8d3
805bbeb9 7fa1f690 befab3e4 befab3e4
805b3dec 7f570606 a3ed7eae a3ed7eae
7f9a1982 7fa2fb96 3affd261 3affd261
*7f92df7e 7fa8cb7b 342dbd5f 342dbd5e
*7f83c89d 7fa4adab 2f4ec494 2f4ec493
*7faa83aa 7fa1fee8 43c974af 43c974b0
*7f8ea506 7f7f3699 4783546d 4783546c
7f9594c5 805c9b68 c718ea67 c718ea67
7fa8a115 7f853835 5149154c 5149154c
7fae9a50 7f052b1c 6a963b20 6a963b20
80594177 7f92c966 b65092b5 b65092b5
7f99cb7e 8051e28c ca4b97a7 ca4b97a7
805eb2c1 7f97001f bbf6d944 bbf6d944
7f62ce1d 7fa7f438 239fbe6b 239fbe6b
7fa4e4c3 805f12a1 be201d6e be201d6e
*7fa9d6c3 80539782 c172721e c172721f
7faa92b0 7fac03a5 3f2d4649 3f2d4649
7fab8bba 7f9eeee4 463f76e6 463f76e6
7f962c8c 7fa5627d 384b9703 384b9703
7fa30ef5 8055a8f6 c46c51ff c46c51ff
7fa16622 7f9d3560 4187e92a 4187e92a
7fa52068 7fac7c68 3bddcb68 3bddcb68
7f7fd2cf 80582f5d d547b5ba d547b5ba
8052255d 7fa56350 bcd55416 bcd55416
*7fa6ca0d 7fa745c5 3faaf153 3faaf152
7fa1dfb7 7faac739 3aadfe1b 3aadfe1b
7faf7523 7fa40515 44920f7c 44920f7c
7fa02a89 7fa63f2f 3ba073b0 3ba073b0
7f9695b5 80520a41 cbf04ce7 cbf04ce7
7fa1066a 805abffd c32e60ae c32e60ae
*7fa60f54 805130f3 c48b2907 c48b2906
*8050ff2d 7f4b45e0 9e44b20b 9e44b20c
80533b32 7fa20596 bb3ab772 bb3ab772
7f983458 7fa94a88 3750026f 3750026f
7fa3368a 7f9a32b2 43bbe9fc 43bbe9fc
7faaa4b7 7fa671bc 417f2a0c 417f2a0c
7faf1eef 8056bee2 be24425b be24425b
805fbc43 7fa25578 c1ce4c01 c1ce4c01
7fa3d83c 7f94c1f5 474bd8b4 474bd8b4
*7f918e69 7fa2f72c 36cfa5f5 36cfa5f4
7fa11fdd 7fa88379 3b2d58cc 3b2d58cc
7f853291 8054f81a d373ed6c d373ed6c
8052e473 805e13da 44fe2129 44fe2129
7fa7ef45 7fa40451 4190d7e4 4190d7e4
7f8a5d48 7fad3be9 2e6dee9e 2e6dee9e
7fa8fc69 7f92f78a 4a89eb1a 4a89eb1a
7f969f85 7fa0ebaf 3ab23425 3ab23425
7fad8016 7fa1e715 452ebb4d 452ebb4d
805e2798 7fa67c7f c34d60ce c34d60ce
7fab62da 7fa9775a 409a6690 409a6690
8057682d 7fa8e2ef c0304a11 c0304a11
*7f96bcdc 7fa58fc5 386fb69f 386fb69e
805f5f6e 8056c146 3a89c39f 3a89c39f
7f9e0e3b 7fa6c79b 3a8e2281 3a8e2281
7fafa436 7faa658b 4196ebb2 4196ebb2
805c5760 7f9e0747 bd86796a bd86796a
805126d2 7fab5e7d befba685 befba685
7f872cf9 7f32c1f1 569c6dc2 569c6dc2
7fa5c408 7fa2229e 4199fe2d 4199fe2d
805fceab 7fa6eadc c4b1e64d c4b1e64d
*805d811b 7fa599e9 c24cde11 c24cde10
7fa7b5e3 7f745239 56806293 56806293
*8057bc86 8057848d 3fdb6b7e 3fdb6b7d
7faa2fff 7fa53da1 41dcf538 41dcf538
*7fad1e77 7fa1e5e1 4503fbb9 4503fbba
7fa882c4 7f836bf4 5218a469 5218a469
7fa96a6f 7fa2b2c3 42dfc180 42dfc180
7faa189e 7fa688e6 4143aaa9 4143aaa9
*7f826293 7fa29b63 2fcf25ba 2fcf25b9
7fab63e3 7fae0315 3e9a2c3a 3e9a2c3a
7f853897 7fa57c82 2fcd6c9f 2fcd6c9f
7fa48bfa 8059e6ca c11f9c3b c11f9c3b
*7f902fc8 805fefde c7f03ce4 c7f03ce5
7f8fa77c 805d6609 ca62e5bd ca62e5bd
7f85b17b 7fac75da 2c32160b 2c32160b
7fa74cd5 805d8443 bdea4a3e bdea4a3e
7f98cb6d 7faf36b4 34b5a9c1 34b5a9c1
7faaea4d 7fa1d215 44152dce 44152dce
7fabac8e 7fa23720 44277804 44277804
*7faf5657 7f902488 4f87b625 4f87b624
7fa16dd4 7faa40a4 3a9f54cc 3a9f54cc
7fa9e459 7f9a34c3 47cee753 47cee753
805c77c4 7fa93ab1 c39cee2e c39cee2e
7fa52ca6 7fade59a 3b54fbea 3b54fbea
7fa37b55 7f9e21fe 42583079 42583079
805afffc 7faff319 c57bc07e c57bc07e
80584bb0 80502ca1 3beac6c6 3beac6c6
7fadd8b3 7fabc705 40988cba 40988cba
7f9e7b3f 8058d8ae c577d523 c577d523
*7fabba1f 7fa78950 416ca5a1 416ca5a0
80590550 7fa992d3 c19f83da c19f83da
7fa35055 7fa842f0 3cbcb261 3cbcb261
*80526b81 805f0a74 45f41b82 45f41b83
805fcc40 805b70d1 3c9bede0 3c9bede0
80589d47 80531c95 3cf3c8f6 3cf3c8f6
7f7b3bf9 805b08c7 d4b2e696 d4b2e696
7fa22708 7fa37fff 3ee4f3a3 3ee4f3a3
7fa67997 7faac44e 3d6f3cd4 3d6f3cd4
7fa69c94 7faa2d57 3dd219cf 3dd219cf
8055cd16 7f918737 b40ae972 b40ae972
805dad56 7fac46ac c5a1ca13 c5a1ca13
7f787ea4 7f8127a4 3d2c41fa 3d2c41fa
7fa67404 7f92aa00 499fc76c 499fc76c
805d0d84 7f808564 aed33f59 aed33f59
*7f866eb0 7fa09570 32d24613 32d24614
*80586b42 7fac0fb0 c28df752 c28df751
7fa9ea6e 7fa578c6 41a7ded8 41a7ded8
7ee21088 7faf861b 1238bb8b 1238bb8b
7f8838d7 7f913895 3b409732 3b409732
7fab95cb 7faff8a4 3dce1244 3dce1244
7fae1511 7fabc6d2 40a9ff72 40a9ff72
7faf7ec0 80542347 bef4f6b4 bef4f6b4
7f818c73 7fab148b 2a5e4da8 2a5e4da8
7f806faf 8052782e d7183a30 d7183a30
7fa6db5e 7f79aabb 54aefcc7 54aefcc7
*80569b75 7fab3e7b c11655fa c11655fb
7faeaf36 7f956ec2 4b740de8 4b740de8
7fadb6a8 7fa58a93 4308f4da 4308f4da
805e520d 8054521d 3a383a1b 3a383a1b
7fa0c90e 805d0142 c1dc7ddc c1dc7ddc
7f9e12fc 7f9cff6b 404c0637 404c0637
*7f68bd5c 7fad5b8b 231a0547 231a0546
7fa2d1d2 7fa354e4 3f9384b0 3f9384b0
7f94b999 8051db50 ccffcd0b ccffcd0b
7f5a9317 805ce8a3 dbba3ad8 dbba3ad8
7faf36db 7fa4a66b 4417b86d 4417b86d
80503d86 805993a7 4354bba7 4354bba7
7fa681bb 7f6121e6 5ade66d1 5ade66d1
7fa67c49 7fa5b896 404813ca 404813ca
7fa6b88a 7fa6b9d0 3fff1a7b 3fff1a7b
7faf4c3e 7f9a748b 4976e1c6 4976e1c6
7fa8cbed 7fa5c887 411b4c84 411b4c84
8052f2db 805f8478 4619b506 4619b506
*7f9904ab 7f63f187 5322ff0a 5322ff0b
7facbebd 80554e44 bf62a967 bf62a967
7fa8079f 7fafb198 3c2188aa 3c2188aa
7fa4d2ba 7f8227d4 512ce8da 512ce8da
8051f0b0 7fa19177 ba4fcdba ba4fcdba
7f93b070 7fa9f850 342164f3 342164f3
*7fa1430f 7faeaaf3 39018347 39018348
*7fae8245 7fa333be 44b5eb87 44b5eb88
7fa03c6a 80585a7c c503a38e c503a38e
805d2c0e 80517f78 39e052ea 39e052ea
*7f8c15be 7f975ca5 399e0a7e 399e0a7f
7fa4b337 7fa741da 3e3da32d 3e3da32d
*7fa408cf 8051df46 c55c3dfa c55c3df9
7fa8c152 7fab0e3e 3ea3ba14 3ea3ba14
7f9b1b30 7f9ae914 400ee589 400ee589
7fa25018 80519666 c65d98cd c65d98cd
7f9ea79f 805b116a c448b6f7 c448b6f7
7faca4a4 7face168 3fde55dd 3fde55dd
7fa885f4 7f9de97a 451e170f 451e170f
7fae77ce 8057d9c5 bde82496 bde82496
*7faa0628 7fab1c72 3f5bc38c 3f5bc38b
8054bb2b 7fa28c1c bc3cf5f0 bc3cf5f0
7f94225e 805332f9 ccd08d0d ccd08d0d
7faa65e0 80541756 c0ddbe86 c0ddbe86
7fae4f6a 7faf210c 3f944048 3f944048
7fa6a372 7f90c132 4acf33d7 4acf33d7
*80525403 7fa10951 ba110d98 ba110d99
7f98efdd 8050a36f cb47177e cb47177e
*7fa02a5d 7f71b606 5267f2d5 5267f2d6
7f920810 7fa27e60 3799a3fc 3799a3fc
7fa424b2 7fa57bf7 3f005b2f 3f005b2f
7fa321cf 7f813c39 50e1645e 50e1645e
7fa89756 7fac25d6 3dfa7238 3dfa7238
*7fa6cd6f 805cbc08 be880177 be880178
7fa1a1cc 7f93de7a 4632d636 4632d636
7fa10b17 805a3924 c37a51e2 c37a51e2
*80561514 8057d886 40958564 40958565
*7fa6f52d 7fa7b6e1 3f7d4f28 3f7d4f27
7fa1c7c2 7f900085 48e3971c 48e3971c
7f5e007c 7fa7dcd6 2264a44a 2264a44a
*7f9fb191 7fa8e530 3a2f43a7 3a2f43a6
805f93b6 8051a08b 38a6c274 38a6c274
*8050e573 7fa183b9 b9caffbc b9caffbb
*7fa97d60 7fa0be3b 442de239 442de238
805a68e9 7f92222d b67999ae b67999ae
7fa25ec6 805b4e5c c1cbefa2 c1cbefa2
7faa94d0 805bdc25 bd71010f bd71010f
7fac0c97 8054fdba bfb11e0d bfb11e0d
7fa4ed3b 805e6b5d be7a35b7 be7a35b7
7fa04c16 7f9f0417 406839bc 406839bc
7faa4782 7f98b15c 48839598 48839598
7fa47fc6 7f9e697d 42c8e3f3 42c8e3f3
7faac40c 7f832f70 532934e9 532934e9
7faeb6ca 7fa50b8e 43acec91 43acec91
7fa1248b 7fa33690 3e469e77 3e469e77
*8054404d 7f97d12b b6ade99d b6ade99c
7fa8026b 8052f717 c2c4f01d c2c4f01d
8050f425 805926f6 42dee2c6 42dee2c6
7fa62da6 80553472 c2c1be68 c2c1be68
7fa50f8b 7f9c6023 43e01ba4 43e01ba4
80582b88 7fa603af bf571902 bf571902
*7fa75706 7fae592f 3c4e194c 3c4e194b
7fab3821 805c6692 bce3c4eb bce3c4eb
*805c893f 7f763a96 ac83b7c4 ac83b7c3
7f66a410 7f7e045d 39f816b8 39f816b8
8051bcd7 7fada262 bfd498d3 bfd498d3
7fa046d8 805e4618 c14eed94 c14eed94
7f40c16a 7fa2b119 1f0275a2 1f0275a2
7fa2265e 7f6c2e33 55255f82 55255f82
*7fa0537a 80526d35 c72acacf c72acace
7fa108fa 7fa15986 3fb5b81c 3fb5b81c
7fa51e4c 80532f57 c44612cd c44612cd
*7fa41c68 805571c7 c3e20816 c3e20815
80559c8d 7f84958d adbe8237 adbe8237
7fa6cb4d 7faabad8 3da4e743 3da4e743
*7f90a976 7f95cf69 3c392668 3c392667
*80502c9f 7f9be28f b6de93ae b6de93ad
7fa00d18 7fa6f7eb 3b2e6dd6 3b2e6dd6
7f915841 7fa861d3 3361c6c1 3361c6c1
8051dfa0 7f91b17f b260de97 b260de97
*8056531b 7fa1ecb9 bc8a70ee bc8a70ef
7fafd0ac 7f9dfe75 487c5954 487c5954
7f949d16 8051b04c cd1e7667 cd1e7667
7fa7d7fa 7fa0a1a5 43781e0b 43781e0b
7fa39d05 7fa7a8a5 3d43a01a 3d43a01a
*7fafa0e0 7fadeb8f 4074edcf 4074edce
*7fa958f2 7fa778d9 40a3a1ce 40a3a1cd
805e8d35 805cf5f6 3ea9c325 3ea9c325
*805f217f 805e2e15 3f257242 3f257241
*7f95c30b 80587a71 c932736d c932736e
8055a6ee 7fa96ebb bfb6495f bfb6495f
*7fa57065 7fa4c86e 4040a7aa 4040a7a9
7f8e733f 7f08f59f 61f28778 61f28778
7fad42dd 7f9a877d 48d2e637 48d2e637
7fa9c0a6 7fa2b344 43045880 43045880
7f60c2bd 7f4baeb7 43ffe412 43ffe412
*7fa3052f 7faf4f0a 39b8581e 39b8581d
805ea134 7f998ba9 bd1ed3e7 bd1ed3e7
*7fa2024c 7fa0ad60 40a389e7 40a389e8
*805e234b 8055077b 3a98b028 3a98b027
805ffc6a 7facc47f c717e25a c717e25a
7fa1cd51 7f5fd6c7 58ec67e5 58ec67e5
*7f9ae3bc 7faff09a 35785959 3578595a
*7f8c18c7 7fad6e47 2f46580f 2f46580e
7facb519 7fa8d382 41402cb1 41402cb1
7faf6cfe 805a26f8 bc7e2a63 bc7e2a63
7fa8c41d 7f89ccbf 4f5be2a2 4f5be2a2
7f8e54fc 7fa57936 334cdd63 334cdd63
7faf46b2 7f8c08f5 50ecc5b0 50ecc5b0
*7fafa02c 7fa80ace 4285fc84 4285fc83
805d756d 7f8cd5b0 b5b63072 b5b63072
805d8404 7f99783d bc63609a bc63609a
805e37a9 805d2430 3f1648c2 3f1648c2
7f831bb2 7fabad16 2b0bf75d 2b0bf75d
7fa4599c 7fa24af1 40e65429 40e65429
7f90d269 7f691459 4d1a98ec 4d1a98ec
7faf6f21 805d3021 baa1df9f baa1df9f
*7fae3e5b 7fa3c8bc 443abc4e 443abc4d
7faf7b12 8056a791 be103f17 be103f17
*7fa65a02 7faeea33 3b916dfc 3b916dfb
7fa3d7b2 7fa4985f 3f6a5064 3f6a5064
7fa2b2ef 7fa352c4 3f7ba814 3f7ba814
7fab3fef 7f9219e2 4c0b18ee 4c0b18ee
*7fa23cf7 805f17a2 bf5ed7f7 bf5ed7f8
7fada550 7f83bf95 540267f3 540267f3
7f66a654 7fa8e53e 246b7a65 246b7a65
805dfcd7 7fa4a75b c20bd57a c20bd57a
7f8ff37f 7f97444c 3afc6b80 3afc6b80
7fa99741 805a6744 be853ad2 be853ad2
*80548765 7f8e505c b1801dc2 b1801dc1
7faf0ea0 7f990887 49ecd08b 49ecd08b
7fa3363d 7fa8a5e1 3c78a117 3c78a117
*7fa6eb88 7fa7bda2 3f72671c 3f72671b
805039f9 7fa5fa29 bc6f1a0a bc6f1a0a
*7f810794 7fa37036 2e047084 2e047085
805ea00a 7fab2d6d c5c56183 c5c56183
805b2dd7 805eda03 41b69af3 41b69af3
7fa12796 7f9edf77 40e3f4aa 40e3f4aa
*80524a37 7fafc7a0 c10ac173 c10ac172
7f953a51 805d780e c6d5f60e c6d5f60e
7f9d4346 7fabc4c0 386e340e 386e340e
7face7c9 7fa0ebda 45aa72d7 45aa72d7
7fab8c60 805784f2 beff5dd5 beff5dd5
7fae63e7 805a2e02 bcdba4ca bcdba4ca
805349b2 7fab4bb1 bf95b90c bf95b90c
*7fab19dd 7faed611 3e0fdaac 3e0fdaab
8052d0a3 7fa97dc4 bed73d87 bed73d87
7f920828 80561a55 ccdc016f ccdc016f
7fa4c976 80541df2 c412688c c412688c
7f83c198 7fa79a19 2d64983e 2d64983e
*7fad9bb6 805df47a badfa49b badfa49a
7fadb46e 7fa2652c 44eb22d3 44eb22d3
7fa2126b 7f6a4d32 55abc34d 55abc34d
8059ff44 805fae22 42c92de0 42c92de0
7fa0994e 8057b3b1 c511e204 c511e204
8052134e 7f6abb91 a4cbad5c a4cbad5c
7f94b109 805c1292 c7b17916 c7b17916
7fa259da 7faaf1d3 3ae5a21e 3ae5a21e
7fa3c643 7fa46d28 3f7d457e 3f7d457e
7fad9d8c 7fae1ec8 3fbb59a3 3fbb59a3
7f1fdc91 805ff766 e408b631 e408b631
7fa91099 7fa445fc 41e4077a 41e4077a
*7f819236 7fa6ae25 2c654e48 2c654e47
7fa264c3 8054e447 c524c506 c524c506
8056a58b 7f96eabc b7264440 b7264440
7fa92a42 7f961431 491e4543 491e4543
7f62382a 7f971e0c 2bd78b1d 2bd78b1d
7f9fdcbe 7f91921a 4681ca6f 4681ca6f
7fa70464 805918a2 bff5dccb bff5dccb
7fa3d326 7fa927e7 3c9bf82d 3c9bf82d
7fa4a7a7 7f7c1841 52ffa2a1 52ffa2a1
8051f0f4 7f975818 b5b2eab8 b5b2eab8
7f99b44b 7fa5c419 39728ec9 39728ec9
*8054d3f3 805181cc 3e41ea70 3e41ea71
7fa9859d 8056c4e7 bfe85f88 bfe85f88
7f9a5bb0 80565fc2 c7c57b8f c7c57b8f
7fac88ff 7fa201ca 44ad67a8 44ad67a8
*8057e190 7fa60e9d bf408f87 bf408f86
7fa8c6b0 7fa50f68 41695b78 41695b78
*7fac6e61 7fa54fb0 42ac3774 42ac3773
80571133 7f813fc0 ac6fb163 ac6fb163
805b5e4e 7fafd7e3 c5a2284d c5a2284d
7fa95f57 7f8f32b8 4d0324eb 4d0324eb
7fa52795 8050708b c5467da2 c5467da2
805c1c9d 805085e2 3a1bfd3a 3a1bfd3a
*7f0436fe 80557bf6 ea897009 ea897008
7f90a520 7fa57002 346c4f72 346c4f72
8055ec81 7fa46f2e bdca8d51 bdca8d51
*7fa5f5e5 7f88d3b8 4e271494 4e271495
7fa9eb24 7fae4874 3db1ab50 3db1ab50
7faba4f0 7fafd7a3 3de3fd5b 3de3fd5b
7fa2b7a2 7fa19ad5 40816b65 40816b65
80560c92 805ca2c6 42b8a0d4 42b8a0d4
7f9fc691 8051b182 c79cba67 c79cba67
7f98f4d3 80529aeb ca6a7adb ca6a7adb
7fa34c57 7fa286fc 4055379d 4055379d
805f3db2 80532fa0 394e58f1 394e58f1
7fa4387f 7fabb81b 3babf784 3babf784
8055ca8a 805784d4 4090883d 4090883d
805e3f17 7fa5083c c27e81d3 c27e81d3
805ab474 7f677952 a75e519f a75e519f
7fa0c056 7fa07eff 401faffd 401faffd
*7f93f8d4 7faeff6e 324f1196 324f1195
8055873b 7fa4b0de bdc3ce20 bdc3ce20
*80517703 7fa64792 bd0920c2 bd0920c3
7f84bf75 7f96e6d9 367ec740 367ec740
7fa54700 7f956e30 47e2be16 47e2be16
8050244c 7fa6be02 bccb143f bccb143f
*8050fea9 7f8550a1 ac5cee6f ac5cee6e
7f6316ee 7fa645a9 249a9c71 249a9c71
7fa00b40 7f655ba3 55be2dfd 55be2dfd
*805d03ab 7fa109e9 bf15fb3f bf15fb3e
8057a9e2 7f9e6a32 bb3296db bb3296db
80574f92 7fa7b523 bfab33d3 bfab33d3
7fa18ad3 7fa4533c 3dcf3056 3dcf3056
8058bf0d 7fa934b6 c13d3c17 c13d3c17
7f78855e 7fa00e9f 2e1479aa 2e1479aa
7fa0e9d9 7fa5132a 3cd736a6 3cd736a6
805461db 7fa9a8e6 bf63b9b4 bf63b9b4
7f9c8fc0 7f8fa8c3 466f39e9 466f39e9
8059b784 7faf31b8 c49214ae c49214ae
*7facfd5a 805e195f bb0b5c4a bb0b5c4b
7fa8da9e 7f968a74 48d22a73 48d22a73
7fa6038f 7f692221 58901d4e 58901d4e
805a76f2 7fa300eb beef26de beef26de
7fa0ab81 7f75190a 520bdc22 520bdc22
7fae4625 8056af11 be6eeca9 be6eeca9
8055e3b3 8058bd17 40fad9b8 40fad9b8
7fa9affb 7fa68f34 411bf8a8 411bf8a8
7f981d4e 7fa7f61f 380d150d 380d150d
*7fad3e44 7fa1f25a 45091d05 45091d06
7f2ccd81 7fa6ee40 1bd0ba4d 1bd0ba4d
8058818d 805cc1dd 41c474f0 41c474f0
7f9dc8be 7f86ff48 4a5c6874 4a5c6874
7faeb437 805f9795 b9079746 b9079746
7facae11 7f8cd713 4fe93fe5 4fe93fe5
7fac5972 805b9270 bce5d74e bce5d74e
*805cf597 7fab0da9 c4bd2873 c4bd2872
7fab2a06 7f934752 4b45b27c 4b45b27c
*8052436f 7fa78378 bde1fb3e bde1fb3d
*7fa53619 805f3906 bde2bbb7 bde2bbb6
7fa29121 7fa0601f 4112127e 4112127e
7fa8dcae 7fa4d490 418c63ea 418c63ea
7f8f8e5d 805e12c8 c9eaec3b c9eaec3b
7f803656 7faa74b9 29ce0927 29ce0927
7fae72e7 7facea43 406ca1fc 406ca1fc
7f9e9291 8056567e c6781ed3 c6781ed3
805afd0b 7fa356de bf4eea0d bf4eea0d
8050cb38 7f92dfe6 b2c5f490 b2c5f490
7fa04123 7fa01480 4016350c 4016350c
7f9f8ce3 7fab3e2e 3943d0a4 3943d0a4
7f76b5eb 7fa091aa 2d353bfb 2d353bfb
7f82b884 7fa3ac81 2f3992cf 2f3992cf
*8050a62a 7fa47493 bbbd21f3 bbbd21f4
*7f9dd55d 7f804620 4eaa67a6 4eaa67a5
7f8418f0 7fa398d0 30344ddb 30344ddb
7fa7be0a 7f86b196 505ea2a4 505ea2a4
80580d62 7f8aa2e0 b19d6d33 b19d6d33
8059bdee 7f9a273c ba620b21 ba620b21
7faa4188 80517bf6 c23bc61e c23bc61e
7fa2c004 7f64eea8 581f7d77 581f7d77
7f90495d 7fac7df2 31255ac3 31255ac3
805f6289 80526636 38fb1ce2 38fb1ce2
*7fadf9e7 8052228c bff86118 bff86117
*7f82d484 7f887a5a 3c4eedf4 3c4eedf3
*7faea07e 7fa417aa 4431c4b7 4431c4b6
7f91099a 7fac9a65 3187cac4 3187cac4
7fa63a36 805d39ee be873e3e be873e3e
7f6b8fbe 7fa4dab4 285afb1c 285afb1c
7f4a3303 805b3dc9 dfde5c13 dfde5c13
7fa17519 7fa78bcf 3bdcd43a 3bdcd43a
7fa877b7 7fa42e16 41b32285 41b32285
7f98cd06 7fa9c94f 3763761a 3763761a
*7faf6bdf 7faddb9c 406b3e9f 406b3ea0
7fa1551e 7fa7e396 3b9bd266 3b9bd266
7fa209b3 7fadbba1 39b4e508 39b4e508
7fa1ace5 7fa17592 4019599f 4019599f
*7fab973e 80530203 c0c5fb88 c0c5fb87
80595926 805da0f0 41dd2c5f 41dd2c5f
8050bda3 7fab060c bebf007b bebf007b
*7faf1681 7fac54e1 40c73f6f 40c73f6e
7fae080d 80586fa7 bdcde245 bdcde245
8059f5d1 7faec5b1 c48a59c6 c48a59c6
7f775b34 80590c52 d65a4672 d65a4672
7fab1a92 80517dc2 c1c923a4 c1c923a4
7fa17bf9 7f844187 4dcf8782 4dcf8782
7f01b909 7fa4f1ac 1670c830 1670c830
7f9c3966 7fab5e04 3840214a 3840214a
*7fa66f6e 7faf98ac 3b5c663c 3b5c663b
7f1732aa 7fa6b2e0 18dd0fe3 18dd0fe3
7fa48a59 805e8b18 be963774 be963774
7fa210e4 7f95f38d 452b021c 452b021c
7f9c478a 7fa27f48 3c3b1630 3c3b1630
*7f5594cf 7f6ae1e4 39fef6b8 39fef6b7
7f414c25 7fa3bd8a 1ebf3fee 1ebf3fee
805da7e5 805f2e26 40b99dff 40b99dff
80563cd2 7f9ea917 ba8e586e ba8e586e
7fa1dc44 7f8d1cce 49d0e126 49d0e126
80531216 7faf8429 c150349d c150349d
7fa67559 80594ee7 c02a2139 c02a2139
*805ed8b5 8058519f 3b96f18d 3b96f18c
7fa0961b 7fa1eaca 3ecfc50e 3ecfc50e
7f8f5720 80570b74 cdf3ff4d cdf3ff4d
7fab39b1 7fa6ae7d 419a45a7 419a45a7
805f5d14 7f979f9a bcbb75f5 bcbb75f5
*7f91328e 7fa732db 33dc6bb6 33dc6bb7
7fa2db42 7f7d2f94 51dca3d7 51dca3d7
*805de158 7f63854f a7d68efd a7d68efc
805fa2c6 7fa67a9f c45a35be c45a35be
7fa96b31 7f67e07d 5a32e942 5a32e942
7faa4d99 80574c88 bf7b2b9e bf7b2b9e
*8054b65c 8059f05f 41e53409 41e5340a
*7fadc728 7fae218d 3fcfffd1 3fcfffd0
*7f98dcce 7fa814c1 38427587 38427588
80556e16 7fa124c4 bb99e9f5 bb99e9f5
*7f605206 7f7353dd 3a133475 3a133476
*7f9e8ca1 805e7387 c212c7fd c212c7fc
7fab49ff 7fa4b467 428b4a18 428b4a18
*7faf984b 7fad4f1f 409fb977 409fb976
7fa7e9a4 805ede9c bcd5298d bcd5298d
*7f97854e 7fa333a3 39cca770 39cca771
7f79301e 805b5af2 d4eb6b8a d4eb6b8a
*7fa082c2 7fab7ca5 399c6093 399c6092
*7faa398e 7fac456a 3ed785d8 3ed785d9
*7f9d6092 7fadcc8f 37c60493 37c60494
8057a05b 7faf330c c3801ef6 c3801ef6
7fa94935 8051d9e9 c294a92f c294a92f
*7fab7874 7f8fe5f9 4dc76f0e 4dc76f0d
*7fafa678 805318d1 bf3d56f0 bf3d56f1
7f8cb226 7fa8efad 3134c762 3134c762
8054f2ba 7f6a4fe6 a5c542b2 a5c542b2
8050e92c 7f8264c6 aa7a71d3 aa7a71d3
7f86f73b 7f902b6c 3b5cc776 3b5cc776
7fad88e0 7f95610f 4b0d40fb 4b0d40fb
805abab4 7fae720c c4d25642 c4d25642
805f49b9 7fa07883 bfe1fff6 bfe1fff6
*7f8a1f05 805cd298 cd1a7427 cd1a7426
7fab290b 7fa14275 4496ce76 4496ce76
805b44a4 805a72c3 3f642f91 3f642f91
8051baf8 7fa1cc65 ba64faba ba64faba
7fa7455c 7face402 3ce34242 3ce34242
80530eda 80528a00 3fb7d971 3fb7d971
*805756c6 8054c9d1 3e801278 3e801277
7fa45666 7fae9c22 3aa16b80 3aa16b80
8051add4 7fa76540 bda1c1a9 bda1c1a9
80512622 80590499 42bd3b22 42bd3b22
*7fac2f13 7fa57c88 427e5f2d 427e5f2e
8057a0ba 7faddce5 c2f11b68 c2f11b68
805ce1c8 8058c6dd 3d2bf3e6 3d2bf3e6
7f8b85f9 7f9221b5 3c24cd87 3c24cd87
7fae4365 7f9d0471 4857ef39 4857ef39
8056edc0 7f964a59 b7007fd0 b7007fd0
7fa74914 7f9b9e7d 457d4eee 457d4eee
*7fa43607 7fa58c78 3f01bc63 3f01bc64
*7fac5177 7fab23c7 4058edb7 4058edb8
7f2c6952 7fa39a8b 1c87eaaf 1c87eaaf
7f91e60a 7fa4e7ac 35b2f28c 35b2f28c
7f924e08 7f8511f0 45e66fbe 45e66fbe
7fa00b73 8059d8a9 c4697c48 c4697c48
7fa8df07 7fa8fe79 3febdec5 3febdec5
7faec055 7f6060b5 5f323dd2 5f323dd2
7fae42f4 805ecbc9 b9ed98a5 b9ed98a5
7f97bd69 7fa721fc 3835c03b 3835c03b
8057ca41 805a9f0b 410f37f9 410f37f9
7fa92808 7f8834d9 50505eb1 50505eb1
7fa96ad4 7f841af1 521d1b7d 521d1b7d
7fad2f33 80513515 c0d5ee52 c0d5ee52
7f9f4b8d 7fa6573a 3b34dbf7 3b34dbf7
7f8d092d 7f922133 3cd00095 3cd00095
7fab5df6 7f81c5f7 54517a07 54517a07
7f948b5d 805b03bd c85613e5 c85613e5
*7faac8b7 7fa187f9 4438ee11 4438ee10
*7fa41456 7fa626ae 3e80ed24 3e80ed25
7fa5f977 7fae5a05 3b957d12 3b957d12
80512a6a 7fa0c22f b947d915 b947d915
*805fe1fe 7fa53ec0 c3dca512 c3dca513
8056e8dc 7f876dd1 af6ec513 af6ec513
7fa398c4 80581347 c2e4f67e c2e4f67e
7fa69da3 7f77ac1a 54ffd6de 54ffd6de
7fad5207 7fad867f 3fe3911c 3fe3911c
80595636 7fa0eaca bd485fd5 bd485fd5
7f866714 7faff3d9 2b37cc99 2b37cc99
7fa4658c 8052ebcc c4c6f70c c4c6f70c
7fad7a58 7fadbbc2 3fdcccba 3fdcccba
*7fa3d696 7f7141d1 54e35109 54e3510a
7fadaf9a 7f907844 4e6b6a1c 4e6b6a1c
7f87ffa2 7fab29f3 2e22b58e 2e22b58e
*8055f7e4 7fa9d67f bff0a37b bff0a37a
*7fae3e10 8053a77f bf76f604 bf76f605
805212a0 80543df9 40a00df7 40a00df7
*7faed171 80580a84 bdb5ad6f bdb5ad70
7fae1477 7fad0f80 4047d71f 4047d71f
805bb202 7ece2c33 93d819a3 93d819a3
*7f49af1b 805a8372 e03d6f3d e03d6f3e
*7f906332 7faf61f0 305addd4 305addd5
*7f8e4bcb 7fa3e39b 342f9e34 342f9e35
7faf8905 8058e06b bd16f974 bd16f974
7fae670d 8057ba9e bdfa9eb8 bdfa9eb8
8058425b 8055f9ff 3e98b7e3 3e98b7e3
7fab9e39 7f8f2d82 4e2c574c 4e2c574c
805eda6b 7faa0546 c574db74 c574db74
7f9f0bfd 7fa71cc6 3abf12e0 3abf12e0
7fafff47 805b2439 bbba586d bbba586d
7faee827 805ed9b1 b9951f8c b9951f8c
8055297f 805e6e25 44385561 44385561
*8055f678 805528c4 3f8560ef 3f8560f0
7fa9925e 7f837a7d 5280a85d 5280a85d
7fa40276 7faebbdd 3a6abf35 3a6abf35
*7fa34cc5 7fa9f8ef 3be3b476 3be3b477
8053e4a1 7f99be47 b743f5e8 b743f5e8
7faed78e 7fa7e718 42529059 42529059
7f973600 7fa22453 3a3c3be4 3a3c3be4
*7fa1e007 7f9eff41 413a0ae9 413a0aea
7faf0377 7fa0b795 46d7712b 46d7712b
*7f8d9ae0 805a9e76 ccec4274 ccec4273
7f6dbe73 7fa946bf 267a5f8b 267a5f8b
7faa1e16 8051df5d c2212d4f c2212d4f
8059fe52 805184cf 3b8d31b4 3b8d31b4
805c7dd3 7f99b473 bbdb6031 bbdb6031
7faacb8d 7f8a6fa6 501bcfa7 501bcfa7
7f9d732e 7f83277c 4c4cd48c 4c4cd48c
*7f90416f 7fab3769 318e6c46 318e6c45
7fa1a418 8052ec44 c64af7bf c64af7bf
8051d354 7f0ed7ba 96d8bf17 96d8bf17
*7f8ed88e 7f93e816 3c6571b2 3c6571b3
7fab9519 7fa95a3d 40b42298 40b42298
7f99c09f 7fad686c 3602db81 3602db81
7fa9b7ec 7fa49673 41fe6433 41fe6433
*7f8d71df 7fa54d05 330f02e5 330f02e6
7f9be15e 7fa43cc7 3b057460 3b057460
7fa2b572 7f8ea26c 49c577d4 49c577d4
*8058d33b 7faa11e9 c1c6dcd3 c1c6dcd2
7facf5a0 7fa6c3ea 422d3cf7 422d3cf7
7f9c9d60 7f9f5623 3e9c3e0f 3e9c3e0f
*7fae6c84 7fa721eb 42858863 42858862
8059bcfa 7fab6225 c2fe153b c2fe153b
805de5d4 7fa80bab c3f46878 c3f46878
805b0d35 805c5258 40842e36 40842e36
*805f2d94 7fa4ca30 c30dbde2 c30dbde1
*7f8ed1f1 7fad1368 307adc67 307adc68
7f99abb5 7fa2c1b1 3af2f0ce 3af2f0ce
*805965c0 7fac036f c317278e c317278d
7f8e2f87 7fa11a3c 361eb193 361eb193
7fa916d8 7fa461bc 41d903b6 41d903b6
8053dbee 7f67c977 a4aef16a a4aef16a
7fa0652b 7f96cfa1 437ff446 437ff446
7fa396d4 80597c03 c2145e14 c2145e14
*7fafc98d 7fa772c2 42d8575a 42d8575b
7f97f134 7fa02eb8 3bd60a84 3bd60a84
*7f6da926 7faf8f6d 23930130 2393012f
7fa9aab9 8052a41e c20317b8 c20317b8
*7fa7022f 7f868d4b 50297726 50297725
7fa2d2d6 7fa9dcc3 3ba53881 3ba53881
*805532c0 805c7d8f 42fd7eee 42fd7eed
7fac80e0 7fac34f1 40158948 40158948
*7fa220e2 805f53b7 bf4d388f bf4d3890
7fa611b2 7fa753a2 3f232e14 3f232e14
*7fabd146 7fa29293 43fb7079 43fb7078
7fa38893 7f9be44e 43348de9 43348de9
7f5cc939 7f93348b 2ca9092d 2ca9092d
*805545ca 7fadb78f c19c1281 c19c1280
7fac2cd9 7fa8ce5c 41162759 41162759
805ba9b7 7fae1323 c52e375a c52e375a
*7f668a25 7fa0ef81 291a4752 291a4753
7fabcab2 7fae957c 3e8a0ec1 3e8a0ec1
8059bdde 80577468 3e825d16 3e825d16
*7faca89e 7f984d00 496f4502 496f4503
7fa863ea 7fa8734f 3ff5ed11 3ff5ed11
805abbfb 805221c7 3b644da2 3b644da2
7fafe7b9 80576499 bda0970a bda0970a
7f9c908d 7fa84ba2 3967e03b 3967e03b
7fafd7e8 7fa90441 422ee095 422ee095
7faa6d69 7fafbfa2 3d517e9d 3d517e9d
7f146d44 7f916b5e 1e04f4b3 1e04f4b3
7fa80b43 7f0061a7 69f0b02a 69f0b02a
8051b9f0 7fa278ab bae36cd6 bae36cd6
7c490e00 7f622cb1 0af10b92 0af10b92
7fab2e5b 7fa80ba8 410b0f4c 410b0f4c
8052638e 7face84a bfce247a bfce247a
7f9508a6 7f663f2e 50cc9736 50cc9736
7faf0b15 8055b2ad be8ed329 be8ed329
7faa6f9a 80516ea5 c229a819 c229a819
7f69af7c 7f83cfb4 386a9f13 386a9f13
7f7d2971 7f54c1b2 49464a4a 49464a4a
7f954d34 7fae4232 3343857b 3343857b
7f714b1d 805d4191 d57b0b30 d57b0b30
8053361f 8056e748 412d499f 412d499f
7f9dcf3f 7f9bb971 409a0827 409a0827
7f84a5e9 7fa1fc52 312f2bc1 312f2bc1
*7fab1e4a 7f90bf32 4cf457ae 4cf457ad
7fa8e39a 805a05ea bef09e52 bef09e52
*7fa63c52 7fae0d15 3bd6bddb 3bd6bddc
7fac023a 7fa15a9b 44e96878 44e96878
80566cad 7fa4e5d4 be35944c be35944c
7fab6086 7faca8ff 3f489feb 3f489feb
7fa2f2e9 7fa762c0 3cf6e245 3cf6e245
7f9c0734 7fa95f96 38d646f7 38d646f7
7f98912a 8059641f c74e9fb1 c74e9fb1
7fa60894 7faf3b7e 3b499aae 3b499aae
7f31b7c1 80540c2d e4e2a7d9 e4e2a7d9
7fa89b13 805d0f74 bd9b4e1a bd9b4e1a
*805d704c 805ea3a8 408da268 408da269
805c4af1 7f9c6e57 bce8d068 bce8d068
7fa2afd6 7f839817 4f425910 4f425910
7fab46a0 80502022 c24ef064 c24ef064
7fa389ad 7f8e4645 4a535fd8 4a535fd8
7f9cae9c 7f88e60e 493732f7 493732f7
805c7797 80599dee 3df66b9d 3df66b9d
7f896698 7d224d80 74810898 74810898
*7fa12f80 7fa4b0f4 3d4a05ae 3d4a05ad
80563ac8 7fada717 c21845df c21845df
7fae4ee2 7f9928dc 49a31787 49a31787
7fada85b 7f9efcc2 47503c95 47503c95
*7f48ac39 8053e323 e2368150 e2368151
805d2577 7faf9443 c672922e c672922e
7fa07791 805acdd2 c391d79b c391d79b
*805f12a6 7fa1bcf3 c0bb4189 c0bb418a
805eb0f5 7fac381d c62fa498 c62fa498
7fa15137 7faf2887 38e47c43 38e47c43
805705f0 7f11edb7 97ff7c88 97ff7c88
7fa0e579 7fa5b6e6 3c732aad 3c732aad
7fac9bf9 805236b0 c0a1de01 c0a1de01
7fa4df6d 7f7a1e85 537e0cb9 537e0cb9
7f69a8a9 8055c4e5 db4b72e8 db4b72e8
*7fa958ce 7faf3683 3cfe3687 3cfe3688
8057fb95 805e383b 42ce634b 42ce634b
7f6d86b6 7f952c3e 3099d32a 3099d32a
*7fa3fd20 7fac3139 3b5820f7 3b5820f8
7f939944 7fabf8b3 3335ee99 3335ee99
805a6974 805ca50d 40ec414e 40ec414e
7f8a3b49 7fae6693 2dce4810 2dce4810
8058d647 7fa05b73 bcabe350 bcabe350
7faa5f46 7fa33fba 42f5e135 42f5e135
7fad0080 7f3b8602 63cbb791 63cbb791
7fa1a64c 8055d6c8 c5349f59 c5349f59
7fa1498b 805c0592 c227b5a9 c227b5a9
*7fa91681 7f9c941a 460bb5a2 460bb5a3
7f99e755 805abcce c640f6cc c640f6cc
7fa420d3 7fae3d37 3aa670fa 3aa670fa
7fa6c260 7f9a6ea9 45c6d36b 45c6d36b
7f990e86 7f7b60af 4d80ef60 4d80ef60
7fa7f422 8050a816 c3c5b48c c3c5b48c
7f5e682b 7f9d6d05 287bb7df 287bb7df
7f9bbed7 805e7a84 c354f526 c354f526
*7fa26c8d 8055d38f c4bcc220 c4bcc221
805f229c 7fa45fd0 c2c188da c2c188da
7fa48a11 7fad0207 3b5435cd 3b5435cd
7f6da538 7f8bda7d 351c3299 351c3299
7f6d5956 7fa1fcef 2a159202 2a159202
7fab566f 7fa75fa7 415b5333 415b5333
805efef6 7fae30c2 c6fd0680 c6fd0680
*7fae5645 7fa1d48c 459c88c5 459c88c6
7fa02db1 7fa9acb4 3a15089e 3a15089e
7f926864 7faf2ca7 317297e0 317297e0
*7fa7dd75 80578a78 c0637ad5 c0637ad6
*7fa10131 80580b26 c4a498cb c4a498ca
7f46e577 7faa3564 1defba2f 1defba2f
*805737cd 7fa914a2 c030c2a4 c030c2a3
8051bfda 7fa838fd be025731 be025731
*7f43f8f8 7f6f7069 3421f34f 3421f350
7fa26e61 7f90af29 48d6706d 48d6706d
7fac0ba8 7fa42e3c 431e2947 431e2947
80509092 7fad2b6f bf60ee84 bf60ee84
7fa72543 7fa2fef3 41bf62d9 41bf62d9
80558304 7fa330eb bcf54707 bcf54707
80572d2f 80561397 3f523334 3f523334
7fa05c5a 7fae5272 38a20ff5 38a20ff5
7fa91289 7fa5df5f 412b9d18 412b9d18
7fa1835c 7eff7089 6865c4c8 6865c4c8
7fabf2f4 7f5bf6c9 5eea4403 5eea4403
7fae922a 7fa5057d 43a25af3 43a25af3
*7fa7bf15 7fa01586 43cfa709 43cfa70a
7fa54536 7fa3b20a 40a3c327 40a3c327
*7fa1f53c 7fa3d75f 3e7b3495 3e7b3496
*7f916f0e 8059b450 cb7d2f24 cb7d2f25
7f7ece58 7fadfcbd 2860b0a5 2860b0a5
*7fad6659 7fa5e221 42bf7265 42bf7266
*7fa1d41c 80561de0 c4faa766 c4faa765
7faf9672 7fa5c102 439dc955 439dc955
80541a9d 7facfccc c09a3950 c09a3950
805ba324 7fa5eebb c1252b39 c1252b39
80531aad 7f96732c b5b3f835 b5b3f835
7f975f7a 8057354a c8ea6c02 c8ea6c02
7f906cc3 7fa752e1 3344734d 3344734d
*7fad2405 7f96a21b 4a4cd6a4 4a4cd6a3
*80528c76 7f91a784 b2a77d1f b2a77d1e
7fa28658 7f9585b0 45c58b00 45c58b00
805026a3 7f9f54bb b7de223e b7de223e
*7fa613e6 8052b319 c3f1ae62 c3f1ae63
7fa87e1f 7f91347b 4b637cd0 4b637cd0
7f9aebe1 7fa8a4c2 38bd4c28 38bd4c28
*7fa8449a 7f789d5f 55b782d1 55b782d0
7fab57db 7fa0c2b9 450d17d7 450d17d7
*805c2414 7f919b96 b6fa2501 b6fa2500
8059677b 805468ae 3d1a6d4c 3d1a6d4c
805c57a1 8054bf56 3b8a8165 3b8a8165
*7fa52b67 7fa87bb4 3dd5a0bc 3dd5a0bb
*7f9b1a42 7fae92e3 362ef57b 362ef57a
*7face462 7fa9e4c2 40ed29b9 40ed29ba
80535238 7fa20fca bb4c20e4 bb4c20e4
7fa26076 7fa0df5a 40b69908 40b69908
7fa0a2ad 7f9e89b2 40b749ef 40b749ef
805ac41d 7fa3f4e5 bf7ceb37 bf7ceb37
7f4a946c 7fad2493 1dd822c2 1dd822c2
7f8350ff 7fa1f750 3099ed67 3099ed67
*805c696c 7f9f30b9 bdf39fa5 bdf39fa6
*7f87b0d8 7f9b7c20 35ca9466 35ca9467
805cbcef 805ac076 3e811e18 3e811e18
7fafb560 7fa9265c 42161579 42161579
7faa58d2 7f868659 515b84b7 515b84b7
7f8b91ac 7f8c5704 3f9095ac 3f9095ac
7fa0620c 7f8a672d 49ed8e0b 49ed8e0b
7ef83946 805abade ea5905e3 ea5905e3
805c3b62 80572087 3cb75706 3cb75706
7fa1d09f 7fac9d6e 39f61004 39f61004
7f9b74a6 7fa20cbd 3c2b3b68 3c2b3b68
7f8d6131 7fa9f5b4 310dcc63 310dcc63
7fa92d44 7fa928eb 400161e6 400161e6
7fa69322 7f8b9e5c 4d13f77c 4d13f77c
*805b35a7 7fadeb6e c4e1ca8e c4e1ca8d
8050dd4b 805462eb 4105363c 4105363c
*7fa7145a 7fa7706d 3fc12602 3fc12601
7f969505 7f64a019 51dd7865 51dd7865
*7fa55bdc 7f984f9c 460e9c88 460e9c89
*7f8ab3b5 805c7036 cd147308 cd147309
7f7983b5 7fa1f770 2cce06ac 2cce06ac
7f9d746d 7fa8f416 39716fbd 39716fbd
7fae1f3e 7fa56724 43425155 43425155
*8055644c 8051b77a 3e0eec53 3e0eec52
80575e94 7fa41e82 be34c54e be34c54e
7faaab90 7f85f748 51b69975 51b69975
7f930b4d 80500fdc ce759f0b ce759f0b
7f8cd52c 7fa0e43b 35a7cc83 35a7cc83
*7fa42e5f 7f5c1b63 5abe7e6b 5abe7e6a
*7fa6620c 7fadb405 3c0e8fad 3c0e8fae
7f5e16e0 7fad9757 206498d1 206498d1
7f9d307e 7fa61f16 3a8e5aac 3a8e5aac
7faf7833 7f83e92f 54a4e787 54a4e787
7fa3d31b 7f4d1d2e 5e0fd87b 5e0fd87b
*805291a3 7f66b59e a3d5c710 a3d5c70f
7fa787ff 805209d6 c36f1505 c36f1505
805765a5 7f96b632 b7557572 b7557572
7f772bef 8059f748 d5fbfb3b d5fbfb3b
805dc673 7faafabd c5311f76 c5311f76
*805c011e 7fa52c18 c0e3a087 c0e3a088
7f89575d 7f9ee7ba 351e96db 351e96db
*7faf2362 7fa41c4a 44630852 44630853
7f94dd36 805b2480 c7ff5801 c7ff5801
*7f9290c6 7fa0c473 38dba0e2 38dba0e3
7faa985d 7f90d789 4ca20162 4ca20162
7f8382f3 805506f7 d46d0b63 d46d0b63
7fa39044 805e2920 bf3a0f51 bf3a0f51
7fa5cb6a 805e28a1 be3a33b4 be3a33b4
7fa694e4 7faaac27 3d8bc799 3d8bc799
7fa32f98 805a2529 c1f434e6 c1f434e6
7f91aa8d 8058fb01 cbb88b86 cbb88b86
7fa84dc9 7faf6a2b 3c60d9db 3c60d9db
7f7a727b 805b7d62 d499f265 d499f265
80598f55 805c7c6d 413319c3 413319c3
*7fa47864 8051dd1e c521c313 c521c314
*805be699 7fa81f8b c2ab40ac c2ab40ad
805d5a80 7fa575ed c2191d2a c2191d2a
*7f826fe4 8051d2e3 d6396ce9 d6396cea
7fa7530b 7fa08292 434d4a62 434d4a62
*7fa9f26e 8054ad8b c0ce2648 c0ce2647
*7f87e1fc 7fae8e68 2c816de1 2c816de2
8056806e 7fad4b89 c212daf5 c212daf5
7f9e21f2 7f196e4b 64328983 64328983
*7f5f229a 7fa50978 24012d91 24012d90
7faf0143 7f90f306 4ea25a48 4ea25a48
7fa25501 805e123d bfd1eea8 bfd1eea8
8058ec60 7fa44e17 bee8731a bee8731a
80531bb5 7f8fb68d b16c6565 b16c6565
7facc79a 7fa570b6 42bd11cd 42bd11cd
7fa5ffe1 7f86cfe5 4f6e19fa 4f6e19fa
7f937d98 7fa91b95 346ba301 346ba301
*805da19c 80568665 3b8969a2 3b8969a3
805e8c4b 7f7a17cb ae630075 ae630075
7f9874be 7fa84b17 380db76b 380db76b
7facbd11 7fa9dbfc 40e40741 40e40741
7faed17d 805b495c bc18e69b bc18e69b
7fad7d79 805b0bdb bcbda773 bcbda773
*7f794731 7faa3a89 28bc35a8 28bc35a9
7f839f5e 805265f0 d564d505 d564d505
805583e5 7f6654f8 a4f1f013 a4f1f013
805d71cb 7f9230b2 b7d6dfb4 b7d6dfb4
7fac9fd9 7fa259a4 447aaca2 447aaca2
7f96fc92 7f623be9 52be477b 52be477b
7fa4bef2 7fa8f015 3d4f7ae3 3d4f7ae3
*805b3c18 7fa15ecb be6fb960 be6fb95f
*805b49b8 7fa6ba60 c16b5165 c16b5164
*7fa3828b 805008ab c63c1557 c63c1556
805faa75 7faebea1 c77fdbd9 c77fdbd9
*7fa16777 7faaf42b 3a54cd89 3a54cd88
7fa57901 7f83a803 50bd3fc9 50bd3fc9
7faafcd7 7f848dd6 52810b0d 52810b0d
7f7a8a24 7f98c898 3172b8d0 3172b8d0
7e9e8545 7fa921a4 0fc2d46f 0fc2d46f
7fa14e4d 7fab1478 3a399c9f 3a399c9f
7fae451a 7fa4f6ef 438d068d 438d068d
805778c4 7fae5cba c31306dc c31306dc
7f9c3126 7fa8c711 391a3781 391a3781
7fa97132 805c5dad bda22acf bda22acf
7f9b7c01 7f3a7d79 5f096766 5f096766
805aba67 7fabd693 c3c62441 c3c62441
7fa9b106 7f80ae62 54523959 54523959
8058343f 80576f08 3f7f8ddc 3f7f8ddc
8055158e 7fa2eea6 bca05f83 bca05f83
8053459a 7faec696 c110851d c110851d
7fa71a3f 805b79da befeb3ce befeb3ce
*7f88d672 7fac2fcd 2e1953f9 2e1953fa
7fae6880 7f92f66e 4cd42562 4cd42562
*7faaf663 7fa582bf 42070c97 42070c96
7fa4f512 7f457ff5 60788daf 60788daf
7fa1b28c 7fa35d91 3e9f3100 3e9f3100
*805aa736 805ca8ef 40d4801f 40d48020
8058e050 7faf9c48 c44baed8 c44baed8
*7f9ba9df 7faa92dd 3853fec6 3853fec7
7fa2f9d9 7fa87c26 3c666bdb 3c666bdb
80573bce 7fabda2a c1c5ce5d c1c5ce5d
7fa8186e 7fa510bd 41269594 41269594
7fa66d08 805b1247 bf6d7ac3 bf6d7ac3
805d8ce4 7fada8d5 c60c16ee c60c16ee
8056ec72 8055a552 3f3965e4 3f3965e4
*7fa2b993 7fad597a 3a353c2a 3a353c29
7fa0b79a 7f033aaf 6797a8c6 6797a8c6
*7fab024f 7fa7a40c 4123c99e 4123c99d
*7f87af1b 7f9b77c3 35cbc205 35cbc206
7fa4fd86 7fa6a360 3ed5d907 3ed5d907
805e0257 805fffd4 40febbc3 40febbc3
7f9c8ed6 7fa3ee1e 3b769588 3b769588
*7fadbbb6 7f744f07 59183e41 59183e40
*805a917d 7f977c1a b9661499 b9661498
7fa344c5 7fa8d8e8 3c686004 3c686004
7fafac2a 7fa1007b 46e72f77 46e72f77
*7fac1dda 805a3da9 bda9a05f bda9a060
7facbe88 7f9ec53d 46f2487c 46f2487c
7f716910 7fac6ab2 25e9032b 25e9032b
7f118c83 7faf346b 1659f8b5 1659f8b5
*7f8aa16b 7fad21a1 2ea05f79 2ea05f7a
805e9709 8059beeb 3c846653 3c846653
7fa98c54 7f9df442 45a5842f 45a5842f
*7f91e6ab 805034af cefdd5b7 cefdd5b8
7f983785 7fa78a5f 383ad7bc 383ad7bc
8059f39a 8055a816 3d64320e 3d64320e
80568480 7fa2e6f0 bd370971 bd370971
8055dcc4 7fa2a041 bcc610d8 bcc610d8
*805a714e 805fd05e 42a7a0b7 42a7a0b6
*7fa84857 7fa0f5cd 4374354e 4374354f
7f6b74df 7fae251c 23885dda 23885dda
7f7960f3 7f9a5138 30b89870 30b89870
7f9beaf3 7fabde67 38039a09 38039a09
7fae1e6a 7fa0acc7 46732b37 46732b37
*7faa0382 8051b479 c242d9e5 c242d9e6
7fadd563 8057f8fb be111c14 be111c14
7fad92df 7fabf3cc 4076cb7d 4076cb7d
7fa8a5c2 7fa79660 405c0b16 405c0b16
7fada846 7fa67b25 428dc0a3 428dc0a3
7faf8f5c 7fab3b24 4145934e 4145934e
7fa26ef2 7fa8351e 3c2effa6 3c2effa6
*7fa2d185 7fa01736 415b2fd8 415b2fd7
805eb043 7fa260e1 c0edcad4 c0edcad4
7f96a4b7 7f43e68b 5a177432 5a177432
7f952bfc 7fae23e1 333d377c 333d377c
*7fa1366c 7fa94641 3ae57d42 3ae57d43
7fa084c1 7fac88c6 39432f99 39432f99
*7faa6576 7fab1abf 3f94fc60 3f94fc61
*7faaaca7 805b9be1 bd88ed94 bd88ed95
*7fa46101 7f9662ce 4690c4ea 4690c4eb
7f90494e 805ec676 c8df270f c8df270f
80564c27 8052d14e 3e1791e9 3e1791e9
7faa6256 7faaad27 3fd32062 3fd32062
7f9c056a 7f686fd8 53165d44 53165d44
8059739d 7fa44edb bf1e1134 bf1e1134
*7f85612b 8053d5f3 d3dab747 d3dab748
7f9df805 7fa35b00 3c62ff89 3c62ff89
7f8973c4 7fa86fa0 30552a8e 30552a8e
*7f8ea76d 805bb53b cbea35aa cbea35a9
7fac4594 7fa6a5dd 41fc8e3d 41fc8e3d
7faf103b 8052a285 bf898f71 bf898f71
7f9e2afb 7f8ae85c 48f824d3 48f824d3
805e57c0 805b5b11 3daf583d 3daf583d
7fad5ff5 805d67fb bb5c8935 bb5c8935
805dfd94 805c7573 3ebeee8a 3ebeee8a
*7fa42212 7fac5554 3b5e8931 3b5e8932
7fa7d082 7fa18207 42e1b6e1 42e1b6e1
*8059bd50 8055ce17 3d98c8ac 3d98c8ad
7fadf77a 7faddf63 4006738c 4006738c
*8052236d 7fa5c7fc bd083a97 bd083a96
*7f9ec2d4 805be0bd c3c52f55 c3c52f56
7fab9613 7f9ab1c6 48446b44 48446b44
7f93803e 7fadb980 327f3261 327f3261
7f720ded 7fa9218a 27ef1476 27ef1476
80599a3d 7faeb6f3 c4552529 c4552529
7f89e9b0 7fa35a5d 32b62ff2 32b62ff2
7fac7073 80599b4a bdd712ce bdd712ce
7f98f7ca 7f882abd 4843e0cb 4843e0cb
7f90a47b 7fa2f651 360aee19 360aee19
7fa9650b 8054c2af c11567a6 c11567a6
7fac919d 7f8783c3 51b82f53 51b82f53
7f959abe 7f838f66 48d60b7d 48d60b7d
*7fa8d742 7f80c9aa 53d66d7b 53d66d7a
7f934d12 7fa568de 366c9861 366c9861
805a135b 7fa473e9 bf6ca46a bf6ca46a
*7faa4cb7 7f6ea9cd 590486d6 590486d5
7fa8a652 7f7f340c 547aee81 547aee81
7fa8537a 7f6b9ad7 58ed0f05 58ed0f05
7fa86729 7fa2a032 427b3bea 427b3bea
8055a44a 7fa10d7b bba26982 bba26982
*7fa69374 7fadf7c5 3c0dae8e 3c0dae8d
7fa3c729 805efa9c beb448b7 beb448b7
7fa097f8 7fa96b74 3a71ced7 3a71ced7
*80500818 7f8cadaa af150d37 af150d38
7fa76338 7fa3cf40 4171e8db 4171e8db
*7fa62607 7f8fecbf 4b19b14e 4b19b14d
*805d3ab3 7fa62e1e c2759eb6 c2759eb7
*805f269a 7f8277b0 b166ef1d b166ef1e
8059fd5f 7f853284 afb174f0 afb174f0
*805c991c 7fa73812 c2a15d66 c2a15d67
7fab7ac0 7fabbfb6 3fd83cb4 3fd83cb4
7fabd5ff 8055781f bf9b405f bf9b405f
7f418aeb 7f90de36 27e88592 27e88592
7facb032 7fac8716 400b873d 400b873d
*7f80bb44 7fa88631 2aea79e3 2aea79e4
7f5fe6a6 80553b29 de739317 de739317
8050f54b 7faeaf67 bfe8321c bfe8321c
7f5847e7 7f849071 32f2849e 32f2849e
7fa73596 8053c175 c2da2d06 c2da2d06
*7f94be8a 7fa82ae1 35bbd28e 35bbd28f
7f670dad 805c2183 d8469511 d8469511
7fad4efe 7fa9a671 4124198a 4124198a
805fe2f8 8057ae43 3a99ea62 3a99ea62
7fa1d152 7fa253d3 3f8e11ad 3f8e11ad
*7fa3cfc6 805f2051 be9b6d76 be9b6d77
*805bfa45 8053b2be 3b51c1a2 3b51c1a1
*7fa6ce93 7fadcb98 3c3f4cfb 3c3f4cfa
*7fa60692 805a9e58 bfc24be4 bfc24be5
7f99d7d0 7f600d5c 54e68543 54e68543
805e5117 7f7ba7a8 ae83ae6c ae83ae6c
7fafcc6d 7f815ea2 56a5431b 56a5431b
*7f9cb1a7 7f9970a9 4105f248 4105f249
*8056587e 7faca9ea c1ae09cd c1ae09ce
7fa072d0 805624eb c5d24728 c5d24728
80510192 7faecba3 bff2ce19 bff2ce19
*7fa2dd40 80575a12 c3c12973 c3c12972
7fab758c 7f7500f5 5849fa4a 5849fa4a
805d7efd 7fac7720 c59962db c59962db
80521bb1 7f0e1e46 96d09384 96d09384
8053d130 7fad913e c0bfcb90 c0bfcb90
*8053ac4b 7fa1502e bae92aa3 bae92aa2
7faa085f 8055c7fd c01d129a c01d129a
7fa1ac69 7fadd98f 39792859 39792859
8053593d 7fa558b5 bd432995 bd432995
805f29bd 7fad619a c6d4c86a c6d4c86a
7fab20b4 7f358979 640dbe17 640dbe17
*7fab3b2a 7fae79ef 3e4bd8fd 3e4bd8fc
*7fa62edb 805a64e5 bfc94aec bfc94aed
7fabdb8a 7fa14f8f 44dfc4c8 44dfc4c8
7f967616 7fa716b3 3790b2b7 3790b2b7
805fc97e 7f4d3cd9 a4880909 a4880909
7f4f7309 7f974a0f 2826496a 2826496a
7fa2b975 805cb6a3 c0776231 c0776231
8052bbbb 805282f4 3fe13186 3fe13186
7f9c4114 7f80dd4b 4d672a35 4d672a35
7f9c4ff6 7f367ff4 6027eca8 6027eca8
7fa0edf7 7fa0e3b6 4004db60 4004db60
7f75cf37 7faf0e80 25dc6929 25dc6929
7fa51b09 7fa184ee 41a33e79 41a33e79
7fafe880 7f8823fe 5292f9c0 5292f9c0
7f668a4f 80534574 dd448e89 dd448e89
7f845e02 7fa783ae 2ddbcaf2 2ddbcaf2
7fa4ca63 80550001 c3adfdc4 c3adfdc4
7fad8cad 7fa73308 42309540 42309540
80584575 7f6a42dd a70430b0 a70430b0
805eb6b6 7fad0fd8 c67ba921 c67ba921
7f8e90bb 805c4364 cb9c5cab cb9c5cab
7fa442df 7f9fd348 422fab9e 422fab9e
7f9dcf23 7f6f995b 520523b3 520523b3
*7f99f2f7 7fa68bc0 3935227a 39352279
*7f9e79f3 80513c5c c8265365 c8265366
7f89d58e 7fae248a 2db686f4 2db686f4
7f80d7c5 7fa36edf 2dde0b18 2dde0b18
7fa4b04a 7fa372eb 40828bae 40828bae
7fa4ab3a 7fab25ae 3c2e8a9b 3c2e8a9b
7fa19774 7fa8e12b 3b50298b 3b50298b
7f9d291a 805647bf c6ee0443 c6ee0443
*7f98a82c 7f73fc83 4f2d6e7e 4f2d6e7d
7f4dad9e 7fadeb8a 1e1b505d 1e1b505d
7fac20f4 7fa001fa 460ebd6e 460ebd6e
7f80cd25 7f90ea14 37e4a169 37e4a169
7fa341c3 8055a0b7 c4511df4 c4511df4
80566095 7f9ec56d baad5201 baad5201
7fa5a2b1 7f9527bd 482e7ee0 482e7ee0
*7fa7d7c0 7f91c8e7 4ab99b5d 4ab99b5c
7fa03495 7fae3856 38947712 38947712
7fa8472a 7f875d14 50502774 50502774
*7f919866 7fa01eea 38bb540e 38bb540f
*805ebbb9 7fa900c7 c4f35db7 c4f35db6
805e5740 805702e3 3b4e95ea 3b4e95ea
7faed80d 7fa72373 42aa0422 42aa0422
*7fa721cd 7f9b278a 45a14169 45a1416a
7fa0243b 8055790b c6439fd5 c6439fd5
7fa496a7 805efc48 be51cf84 be51cf84
7fac9c7e 7f9770e7 49c3b7a7 49c3b7a7
7fac900c 805cc0b9 bc20c817 bc20c817
7fa13180 7fa126b5 400508c6 400508c6
7fabbd01 805dcdfe bbcde177 bbcde177
7facdf28 7fa84d0d 41813452 41813452
7faa8eb7 805e10a8 bc27631d bc27631d
7f92e783 7fa64bb8 3590f404 3590f404
7fabdea6 805652ea bf51082e bf51082e
7f9d318a 7fa66d5d 3a69e248 3a69e248
7fa46392 7fa80c87 3d90a20c 3d90a20c
*805cb915 7fa04239 be83cc9f be83cc9e
*7fae5f70 7fab51db 40e4de95 40e4de96
8056af96 7fa2c303 bd34c1d2 bd34c1d2
7fa35e61 7fa368ab 3ff7849f 3ff7849f
8053053b 7fa1bdd2 baeeb97c baeeb97c
7f9d750b 8059b4d1 c56ded49 c56ded49
7f820351 805218e7 d65ca17e d65ca17e
7fad1d7c 7f8e183d 4f7aaf71 4f7aaf71
7fa3d6b7 7f97b003 45667890 45667890
*7fa13ae0 7fa8578c 3b534569 3b53456a
*7f9be1d0 7f9cb0ba 3f8c9bbe 3f8c9bbd
7fafdd9b 8051c7a0 bf907d8c bf907d8c
7faa305e 7fa1187b 44415931 44415931
7faa1f2c 7f90428d 4cda20f6 4cda20f6
*7faf70d8 7fa9fcc7 41adc459 41adc458
7f93d1ce 7fa9a137 345f7294 345f7294
7f962a85 7f80204a 4aff1181 4aff1181
8051c27d 805a861b 4343c2eb 4343c2eb
7fae04da 7f9756c7 4a4a2b62 4a4a2b62
7f809d45 7f92343a 369a507b 369a507b
805fb376 7f87a73a b4f986a3 b4f986a3
7fa3a038 805db851 bf693808 bf693808
7fa19532 7fa7c78a 3bd4a0f8 3bd4a0f8
7fa6d26e 805148a9 c41cb047 c41cb047
7fadb157 805a72a5 bcfa70e5 bcfa70e5
805f00f9 7f9e8d14 bf194f82 bf194f82
80523a2f 7f874d99 adc76ad0 adc76ad0
7fa08f33 7fafeb86 384ce937 384ce937
7fa0460c 7faf902c 383fda24 383fda24
805f3210 7fa2803b c1779622 c1779622
80556392 7fafc143 c2977f56 c2977f56
7fa26bc1 7fa5a99d 3d9b107a 3d9b107a
7faf516f 80519dd1 bfc1015e bfc1015e
805829d7 8054b501 3df947ec 3df947ec
7f4be295 7faa9598 1e9a67c4 1e9a67c4
7faa5e9d 7f2c20c9 64c7d279 64c7d279
7fac336b 7f688755 5b2219a7 5b2219a7
7f85960e 7fad927f 2baddc0b 2baddc0b
7fad1e0e 7fafc420 3eaa77f6 3eaa77f6
7fae4303 7fa95178 418fe229 418fe229
7f64c963 7f7a8858 3a0e42e3 3a0e42e3
7fa6e3f7 7faf6aa4 3ba8651b 3ba8651b
7f76ca65 7fa526f9 2a5c7729 2a5c7729
7fa8bc1c 8055b93d c0f04ee6 c0f04ee6
7fa8cf7f 7f9f4029 44b3e6a1 44b3e6a1
805523e0 7fac3c26 c0c77b7b c0c77b7b
7f831c39 7faddffd 2a3c1b45 2a3c1b45
*8051f563 7fad968e bfe09ec5 bfe09ec6
805d8012 80571943 3be30195 3be30195
7fa9d2b4 7fa24720 434d6e0b 434d6e0b
7f83e501 7fabc815 2b752b76 2b752b76
*7f9fbb5b 7fa27953 3dbdc2cc 3dbdc2cd
8052e159 7f9360c1 b3fa6af4 b3fa6af4
*8054c073 7f8f6a63 b21f5759 b21f5758
7f85e1d7 7fa49e20 307d9b52 307d9b52
7faa53eb 7f90b39c 4c9c64e3 4c9c64e3
7fae4e81 7faf145e 3f9a2370 3f9a2370
7fa03466 7f7c6f6b 50948bc1 50948bc1
7fa3b27d 805a8851 c151c5a2 c151c5a2
805c20c4 7fa200ff bf2b7edf bf2b7edf
80599caf 7fac552c c35b6b7c c35b6b7c
*7fa5c7f5 7fad4f2c 3be3edbe 3be3edbd
8056dd7d 8051e8ba 3d5d5f19 3d5d5f19
805f502b 7fa07a2c bfe5f26f bfe5f26f
*7fae3de5 80551820 bf021225 bf021226
7f9b92b4 80551b62 c7cc36bd c7cc36bd
*805700da 7fad1984 c241872c c241872d
*7fa59590 80553ebf c3179880 c3179881
7f631a4c 7f75ee97 3a69f6cb 3a69f6cb
805a5a22 7f9264fd b695c52a b695c52a
8050786f 7fafefb1 c0342a96 c0342a96
7f9ce27b 7f6aa130 52d756b7 52d756b7
7f74e9b0 7fa8cb60 2889475f 2889475f
805bdc92 7f7c7094 ad567179 ad567179
*7fa8bb11 7faa4dcf 3f0b05be 3f0b05bf
8056711c 7fac09ac c16a3e4d c16a3e4d
7fa3192f 7fa24dad 4058f2d3 4058f2d3
7fafd0b5 7f97a87e 4ac220fe 4ac220fe
7f9936fa 7f890746 480f3f67 480f3f67
7f8f77ae 7fafb4ac 2fe1388b 2fe1388b
7fa88f7f 8057aea0 bfeb904b bfeb904b
805b88eb 80552afc 3c3411b6 3c3411b6
7f9be0e5 7fa366d7 3b7ec9e6 3b7ec9e6
7f837e9e 80567ff2 d3c4a899 d3c4a899
7fab1577 7fa06893 45346d67 45346d67
*805baaef 805905ea 3e288e6d 3e288e6e
8053cf68 7fa08a96 ba5dcadb ba5dcadb
805f85db 7faee4df c7776cde c7776cde
*8050f329 7fab30ca bedd4bd2 bedd4bd3
7f8fab23 8054c290 ceb30c6f ceb30c6f
*7f9776bf 7fa770a4 38021573 38021572
7f7a76fe 7f995d11 31386510 31386510
*7fa2a16e 805b9239 c1688c33 c1688c34
*7fa17119 7fae5ccf 3930f387 3930f388
7f702dd1 7fa51888 2922a081 2922a081
7f9616ad 7fa5a44b 382a4841 382a4841
8050aac2 7fac7c6f bf335275 bf335275
7fa40119 7f7ad9e5 52e091d2 52e091d2
7fa194f7 7faf0c70 390f718c 390f718c
7fa951ea 7fa23fb8 43198edc 43198edc
7fab88df 7f9aa606 484419c2 484419c2
7fa5ed58 7f9a3bad 455fab74 455fab74
805c5f74 805f3f40 415f5d2a 415f5d2a
7faf5d8f 7f8fa149 4fddbd99 4fddbd99
*7fac9244 7fa54ae7 42bc1930 42bc1931
*7fa50629 7f91e36f 4966fe2c 4966fe2d
*80548a27 7fa463b8 bd39c7e8 bd39c7e9
7fa0da09 7f438d6c 5f2af91b 5f2af91b
7f935d3d 8055e033 cc23e5c9 cc23e5c9
805a0e23 7f9c718b bba7d99a bba7d99a
*7faa43ea 7faae82d 3f9e52b4 3f9e52b3
*805f5532 8053f288 398199f1 398199f2
8050c85f 7fa96354 be29c309 be29c309
7fa22b4e 7fab0c49 3abf703a 3abf703a
*7fa44b80 7f500ff8 5d832bdd 5d832bdc
*7faefed2 7fa513de 43c3a8b4 43c3a8b5
7faae3d4 7fa12a20 4488649d 4488649d
7fa02028 7f9e5ea8 407ee0bd 407ee0bd
7fa2aa9f 805f1ef9 bf271e45 bf271e45
7f810cc9 805d8e74 d1357ec4 d1357ec4
80504919 7fa4635a bb8e41d4 bb8e41d4
7facbdc8 7fa2f3b8 4421daef 4421daef
80538252 805100fa 3eb4f171 3eb4f171
*7f208b02 8053cb6a e762860a e7628609
*7fa56452 7fad3ff4 3bb39cdf 3bb39cde
7fa6bf24 7fa8e6a5 3e9da232 3e9da232
7fae40ed 7fa74150 4268554b 4268554b
7facb20f 7fa3a53f 43af72ae 43af72ae
7fa48143 7fa37134 406ff213 406ff213
805c8be5 7fa2c22f bfb41f06 bfb41f06
*7f956506 80593df4 c8f5617b c8f5617c
*8056676e 7faf33c6 c2dff2e2 c2dff2e3
7facaf28 7fa2f353 441bef0f 441bef0f
7fa1f5f4 805761aa c453b56a c453b56a
7fac3147 7fa85d64 4141bd07 4141bd07
7f8a55bc 7fa8e06f 30780c61 30780c61
*7f96a16d 7fa88b63 36c09afa 36c09af9
7f010c80 7f8e07f9 1c66e596 1c66e596
7fa0e8c8 8056d789 c53efc7e c53efc7e
8050626e 7fac397e bf09f37f bf09f37f
7faf62ec 7f29219b 661ac937 661ac937
*7f7bb7c7 7fa04bb4 2ea89696 2ea89695
7f8df03a 7f8077a8 468b57eb 468b57eb
*7f990a63 7f8b7beb 4693d7e9 4693d7e8
8054c270 7fa040ae ba976c21 ba976c21
7fa72aae 7f987cfd 4722f527 4722f527
*7fa45e98 7f7afdf2 530ca397 530ca398
7fa31d79 7fa92107 3c2bbe5f 3c2bbe5f
7fa3f08c 805a4828 c14f6c21 c14f6c21
80555f87 80584f24 40fdce9a 40fdce9a
7f9bf00e 7fa87d00 39207b7d 39207b7d
7f4e0582 8051e893 e1e1e8c3 e1e1e8c3
*8052c527 7f8b3ecb af6ad20d af6ad20e
805a37b8 7fa0bd2e bd96f2d9 bd96f2d9
*7faf4cb3 7f974fc7 4abdc4d0 4abdc4d1
7fa2a5c2 7f611dae 59027239 59027239
7fa27797 7facdd79 3a3c834a 3a3c834a
7fa2cf0a 7fa1c44a 40781caa 40781caa
8058855d 7f85d32c af64c6fc af64c6fc
7fa3d624 7faafcf4 3bc2987a 3bc2987a
80525244 8056a52e 415d419e 415d419e
7f998279 805ad7a1 c65abe69 c65abe69
7f9833f0 7f25d84c 611dc0e0 611dc0e0
8055d494 7f9cd87a b97bfbf1 b97bfbf1
7f8cf23b 7fa295d2 3475ba55 3475ba55
7fa943de 7fa35687 4273b69d 4273b69d
7fa32d32 7faf1872 39ddff54 39ddff54
7f58587a 7faa69c1 20887155 20887155
7fa1ae44 7fab06a1 3a77a95d 3a77a95d
7f9b8091 8054db77 c7e4dff7 c7e4dff7
7faa1f15 805f830d bb536f5e bb536f5e
*7faf4539 7f979ab3 4a992424 4a992423
805a89ae 805d0581 410c04cc 410c04cc
*7fa0a8d0 7f82a30d 4e4d638a 4e4d6389
7fac888e 805fee0a b9cbaaff b9cbaaff
7fa0761d 8057123d c56f427d c56f427d
7facf38b 7f43dd9a 62752e14 62752e14
7f9775ff 7fa97c19 36bab8e3 36bab8e3
7fa10cf6 7fac311c 39ad4a7e 39ad4a7e
80508e55 7f9c0103 b7046b60 b7046b60
7fad5008 7f9892aa 498b06a9 498b06a9
7f8df26a 7f9cb9fd 385701c6 385701c6
80587a71 7f9015e0 b44d36ef b44d36ef
7fac5d81 8055ca7a bf5783c4 bf5783c4
7fa45445 7f7da1aa 528e2286 528e2286
7fa42778 7facceb5 3b31a15c 3b31a15c
805be50a 7fa084f8 be436f92 be436f92
*8052992f 8050394f 3ecdebd9 3ecdebd8
7fa45f13 7fa6c0a7 3e535570 3e535570
7fad0806 8050441d c15cd501 c15cd501
8057459e 7fafa134 c37dc0a8 c37dc0a8
80507d6d 7faba4b1 bee186f3 bee186f3
*7fa661da 7fad8572 3c217a18 3c217a17
7fabe8cf 7fada77a 3f0effcb 3f0effcb
7fad26a6 7fac663b 40363419 40363419
7fa94c2b 7fa38881 425c5f00 425c5f00
7fa9ebad 7fa534f9 41c73603 41c73603
7fa12ffa 7fa71253 3beb5b67 3beb5b67
7fa19b36 7f94f84a 456eff85 456eff85
*7f9535a8 7f970dc7 3eb855aa 3eb855ab
7fafb11f 7fabcacf 411f5d55 411f5d55
7f699375 7fa14c54 299d2d5a 299d2d5a
*805646f3 805d340b 42f2a3e1 42f2a3e2
7facd337 8055f812 bf242c95 bf242c95
7f91fdb3 7faf6c6f 312917c7 312917c7
*7fa74466 7f9e8b99 4430089f 4430089e
*7f9940f5 7fa4179d 3a0e1c70 3a0e1c71
7fa53dde 7fa59f54 3fb7e1a5 3fb7e1a5
*7fa3840d 805c8245 bffd67e7 bffd67e8
7f839483 7ec90f37 6449bd2e 6449bd2e
7f267884 7faa2300 19e33b09 19e33b09
7fa5c3dc 7fa41def 40a7ca13 40a7ca13
8056a544 7faaa8fd c0c89a07 c0c89a07
7fa44276 7f8cfc97 4b2ecf8a 4b2ecf8a
*7fa4cbfd 7f940ca5 484c5954 484c5955
7fab5d14 7fab7140 3ff43d2f 3ff43d2f
7f82bd3e 805f9f8c ced88ffe ced88ffe
7f6b0652 7fa97b4b 2581f767 2581f767
7fa9a5de 7fae0d7a 3da7a96b 3da7a96b
7fa4ec8b 7fae552e 3b097c34 3b097c34
7f9b5a09 7fa79cf1 3944425c 3944425c
*7fa7d078 805752e3 c08f10bb c08f10ba
7fa5aa6b 805cbd04 bf0063e3 bf0063e3
*8055a80f 8053576f 3eb5234b 3eb5234c
*805459f4 7fad7889 c0fd42cd c0fd42cc
*805aaa52 7faa045f c2e12c11 c2e12c12
7f946b19 7fa05261 3a020578 3a020578
7fad5bce 7f9d1f02 4810b3a9 4810b3a9
7f9b95d4 7f900c3f 45c06390 45c06390
805893e0 7fa80077 c062e2c0 c062e2c0
7fa85881 7f87beb6 5033d09f 5033d09f
7f8a3fe1 8056236b cfe1489e cfe1489e
805df5ce 7f9e47b1 be77bdeb be77bdeb
*7fae23e3 7f893939 518f3406 518f3405
*7fa51386 7f82cf6b 50f6b81c 50f6b81d
*7f98e373 80530953 ca404c0d ca404c0e
7fa3d7b4 7f952b39 46ff61b3 46ff61b3
7f92af43 8058eb3a cb0c3646 cb0c3646
805f4977 7fa5c95c c3b9da82 c3b9da82
805bf48d 8053212a 3b1bd928 3b1bd928
7f0f7b9f 7fa54c2e 187580b3 187580b3
805c0c63 7f9f50dc bdce66ca bdce66ca
7f962b12 7fa800ef 36c6ce2f 36c6ce2f
*7fa2c998 805b7481 c15e6033 c15e6032
*7f9a9a3c 7faed5fb 35cdab74 35cdab75
*7fab1cee 7fa862a7 40e514b1 40e514b2
7fa6d6ec 805de686 bde7a803 bde7a803
8053d728 8054240a 401613d8 401613d8
7f997905 7faef416 352abf76 352abf76
7fac86b1 8053936d bff8a638 bff8a638
7f99b53a 80505dd8 caff4110 caff4110
8057b777 7fa9971f c0d13202 c0d13202
*7faf51ee 80543e27 bef92035 bef92034
7fad2a8e 805c2c8b bc3b2ac6 bc3b2ac6
80549bff 8054e205 4014a878 4014a878
*8055c3aa 7f7edeca aaa57d05 aaa57d04
8059202b 8052ee36 3c9714b4 3c9714b4
*7fa01a77 805dbc2a c1e4bfcf c1e4bfd0
8057d03b 7fa5de20 bf26d2b0 bf26d2b0
7fa1aa18 7fa0f067 4057b2d1 4057b2d1
*7fa8cc58 8051c48e c2e038e6 c2e038e7
*7faeac91 7f2724b2 6644e25e 6644e25d
7fa7a2b0 7fa056a8 4392aa2a 4392aa2a
7fa18cfa 7fa06f07 408b338d 408b338d
7fa21b36 7fa0398a 40ed7fe8 40ed7fe8
7fa10b9a 8059bde6 c3bf4959 c3bf4959
80509033 80529894 408d949a 408d949a
7faa2ced 7fa2ab6b 43375fb8 43375fb8
7fa2d21e 7f96710e 456b2134 456b2134
7fac6951 7fa36252 43b9c585 43b9c585
7f9ba234 7fa6963d 39c9993c 39c9993c
80560dbb 8050a338 3d3ca69b 3d3ca69b
8051cec4 7f6770b8 a3c06eb3 a3c06eb3
7fa40588 7f9f3fbb 42405c45 42405c45
7fa90d49 7faa1345 3f5f3e87 3f5f3e87
*7fa04315 7faadc0c 39afe934 39afe935
*7fac8a50 8054489e bfc31e1b bfc31e1a
7f94f7d3 7fa59798 37899bf7 37899bf7
7fae5be2 7faabeff 4114a466 4114a466
7fa073a5 7faae632 39c92450 39c92450
7faf079c 7faaef8f 41374624 41374624
7fa07dca 7fad637f 38fa7587 38fa7587
7fa5ae8d 7f3c5bae 61bf5d5d 61bf5d5d
7fa849b0 7fa4b62b 4161a03c 4161a03c
7fabe0fe 7f918ef5 4cb3c4c1 4cb3c4c1
7fa219a9 7fa18f8a 403eec3e 403eec3e
8059b8ea 805a635a 403f1719 403f1719
805645b5 805599e6 3f97de75 3f97de75
7fa32ad4 7fa85f5d 3c953893 3c953893
*7fa72971 7face3be 3cd3ea5a 3cd3ea5b
*8052c2b8 7fad6f25 c01b1ae1 c01b1ae0
7f67da45 7fa5ed8b 268a1d3c 268a1d3c
7fa5ce83 7fa9a715 3d99e828 3d99e828
7fa2d149 7fa8913b 3c415cf8 3c415cf8
7fa7163c 7fa54eed 40aaf0f8 40aaf0f8
805e7ec6 805ce4bf 3ea8a342 3ea8a342
7f9dec06 80515010 c86625c0 c86625c0
7f85d7e6 80560c3e d2887da7 d2887da7
7fa69efe 7fa3f4c0 41117f71 41117f71
7fa2f0fd 80559502 c48736f7 c48736f7
7fa544d0 7fa866f7 3df20bc8 3df20bc8
805ba13f 805be3ce 401a7a46 401a7a46
805c5bb8 7fae923c c5b85be1 c5b85be1
*7fa178d0 7f541100 5abcfd4a 5abcfd4b
*7fa6f933 7fad1a0f 3ca17334 3ca17333
7f9b08ad 7f754ea8 501d37f5 501d37f5
7fabac4c 7faefd27 3e49b94a 3e49b94a
8054f572 7fa2a57f bc65fa2d bc65fa2d
*7fac1736 7fa279ca 4429cbde 4429cbdf
7fa5fa69 7fa7c383 3ecc3c54 3ecc3c54
7fa176f0 7faaefdc 3a5fa553 3a5fa553
805a6902 7f9b1c4d bb4219dd bb4219dd
7f9ad34b 8050636c ca6c2b53 ca6c2b53
*805551a9 7faee096 c22ca730 c22ca72f
7fab5349 805fbaac ba909291 ba909291
805c6ebd 7f9179dc b70ae8a1 b70ae8a1
7fa01e17 7fa1c067 3e86f684 3e86f684
80579d84 805b715f 417d51d7 417d51d7
*80517b12 7fa40c42 bbd24ad1 bbd24ad0
7fa42e4d 7fa19929 412c8c3d 412c8c3d
8055cca7 7fabcbd2 c0eb1fe2 c0eb1fe2
7fad0a89 805747ba be99fbdf be99fbdf
7f9fb027 7fa138d8 3eb843a1 3eb843a1
7fa8e0e4 7fa5a4bb 41321c1d 41321c1d
805d04a9 7fa5eb6d c224fa21 c224fa21
7facec75 7facdcbd 40045b5e 40045b5e
7f8c9114 7fa5cd59 327b6f60 327b6f60
*7fa28177 7fa428ee 3eafea32 3eafea31
*7f97718d 7f9edbd9 3c27c008 3c27c009
*7fa105ab 7fa2dd0b 3e702dde 3e702ddd
80553b45 80538722 3f0ae966 3f0ae966
7f8c17e7 7fa0f40b 3541bfb7 3541bfb7
7fa2f50e 7fa80ba4 3c9d4065 3c9d4065
7f7d1176 7fa83053 2a193e42 2a193e42
7fab92c6 805ad712 bd9342fc bd9342fc
80592460 805e87d4 4277b602 4277b602
*7f6e2b5d 7f99eaee 2e4046b4 2e4046b5
*7f8ae0a1 7fae757c 2e1e60c5 2e1e60c4
7fa7cc88 7f9fb90f 4400e051 4400e051
805cfd51 7faaaf3d c499f1a9 c499f1a9
7facd1fe 7fa3b579 43b2ca16 43b2ca16
7fa924bb 7fa49e87 41c15e25 41c15e25
80539202 8056220b 40cae50b 40cae50b
7f9e9b89 80506400 c881d12e c881d12e
7fa9d784 7f90e4fd 4c3ca091 4c3ca091
*7fa50288 7fad6986 3b6de0ab 3b6de0aa
7f9d2915 8054504c c792f4b8 c792f4b8
7fadcd87 80586c0f bde34b82 bde34b82
7f998596 7fa2d00d 3ada5f69 3ada5f69
7f875655 7fab5755 2da82bdd 2da82bdd
*7fa72059 8053a31c c2f4397f c2f4397e
8053c364 7f7e324a a978e377 a978e377
7fa67329 7f9331f3 495b4275 495b4275
7faf3a7a 805d9383 ba70a0a0 ba70a0a0
7f9a782b 7f6fdddd 50d903d6 50d903d6
7fa176a9 7f7af3f6 517ab2f8 517ab2f8
805c96d7 7fa0b122 beb26995 beb26995
7fa142c3 7fa9d2ec 3ab1c79d 3ab1c79d
7fa3af00 8052b96c c53e0b13 c53e0b13
7fad822d 7f02eb88 6ac29f4e 6ac29f4e
7fa36a8d 7f9d89df 42845f6f 42845f6f
7faa18c4 7f8c6634 4eb3e9de 4eb3e9de
*7fa17e5b 7fa79af1 3bdb7fce 3bdb7fcd
80575f64 7f83a39e adf7d134 adf7d134
7f897f7e 80517827 d2a32e14 d2a32e14
805c0274 7fa7de39 c2997134 c2997134
7fa91318 7fa361d8 42597aca 42597aca
7facc721 7faf72f8 3ea4172f 3ea4172f
7fa4da01 7f91932b 497dd58b 497dd58b
7fa75132 805ded19 bdaddcd0 bdaddcd0
*7fac2b6e 7fa41853 4336eebd 4336eebe
7f9ac01f 7fa1056e 3c92a12b 3c92a12b
7face1e8 8054b141 bf89e406 bf89e406
7faef287 7fa90d56 41e1efe0 41e1efe0
*7fa8c994 805c5295 bdec285f bdec285e
7f942588 7fa5ab7b 36e0146a 36e0146a
*7fa103d7 8059054b c4271fca c4271fc9
7f9d71a3 8059cd69 c5637160 c5637160
7fa557e1 7fa8cb95 3dc5c272 3dc5c272
7faf78e5 80565bb7 be2e519f be2e519f
8051d0e0 805e31b7 458fbbd1 458fbbd1
7fa02920 7fa728c1 3b2a3780 3b2a3780
*8056fd4b 7f9f440a bb3377e0 bb3377e1
7fad8476 8058ce2c bdd1b8c8 bdd1b8c8
7fadd3bc 805dad98 baf9f6bf baf9f6bf
7faff6ec 7f83441d 5545dbd3 5545dbd3
7fad4f9c 7fad7b62 3fe83e74 3fe83e74
7f9b3e65 7fab2358 3807f96a 3807f96a
*805b28fa 7f9b2c3e bbba9caa bbba9ca9
*7faff45f 7f90f12c 4f16bf5f 4f16bf5e
7f962303 805d9391 c6633bdf c6633bdf
7f79bee1 7fa63a7b 2a642c89 2a642c89
7fab29b1 8053904d c0b76e87 c0b76e87
7faeb311 80563b32 be78206b be78206b
7fa123de 7f64effd 56d9568a 56d9568a
7fac75ae 7f989b1f 4940c12d 4940c12d
7f877d34 7fab28c0 2dd67ea4 2dd67ea4
*7fa288c1 7fa7032b 3ce2ec66 3ce2ec65
7fad77bf 805efe70 ba2346a4 ba2346a4
*805350bb 7f7570c6 a7697011 a7697010
*7f944e5f 7fa5d97d 36dea9de 36dea9df
*7faa5a38 7f8e7bbd 4dd4eec4 4dd4eec5
7f835fb3 7fadaaf3 2a72cb61 2a72cb61
805af5fc 805eff1d 41e6144b 41e6144b
7fa38470 80555034 c44c6381 c44c6381
*7fa69e0c 7fa85c5d 3edadccc 3edadccd
8052fb27 7f5280d3 9f673a56 9f673a56
80575b78 7fa67f97 bf3cce93 bf3cce93
7f8b90e8 7fadf984 2eb6dd20 2eb6dd20
*7f9db7e7 7f93bec0 440a71ac 440a71ad
7faf8d8a 7fa6376c 435caa04 435caa04
80505ef6 7f89c712 ae2f1c3b ae2f1c3b
7fa430ef 7f9e75d4 429b1bdc 429b1bdc
7fa05125 7fa3be7b 3d39087d 3d39087d
80557255 7fa0b01b bb4545fa bb4545fa
7faa303f 7fa9a346 402bfd31 402bfd31
7fafeb05 7f9502c7 4c27297c 4c27297c
805d61c1 7f85756f b21e3425 b21e3425
7fab3180 7f8e47fd 4e5e5244 4e5e5244
7faf00a1 7fab1c86 4125edee 4125edee
7fa21363 7f98c4c3 43ad3208 43ad3208
7f1cf088 7f9a89c6 1c97b46d 1c97b46d
*7fa3f460 7faf7a83 3a247f4f 3a247f50
*7f90c2d0 805607c4 cdac6a6b cdac6a6c
7f97b9c8 8058db4a c7cc7882 c7cc7882
7fa34ca6 7fafd145 39b47f2d 39b47f2d
805855cc 7fabae0e c25222cf c25222cf
7f876421 7fa432b0 3143c571 3143c571
80548957 7fa925af bf435bb5 bf435bb5
7fa403f4 805cdf24 bfa105f1 bfa105f1
7fa4d3bd 7fa9dcfc 3ce26c03 3ce26c03
7f423a5d 7fa95d14 1d65e17c 1d65e17c
7fa302aa 7fa515c7 3e6cf990 3e6cf990
80563c39 7f8f599e b2d9bdc4 b2d9bdc4
805ef2c3 7f8d382d b6a9ae01 b6a9ae01
7f466f89 7f5eadae 39cfc000 39cfc000
7fac63d2 7f8dbed2 4f455967 4f455967
8059cbd5 805b5695 4098d7b0 4098d7b0
805ebdbf 7f95fea2 bb71f1b8 bb71f1b8
7f9a9804 805b2191 c5ce2cd6 c5ce2cd6
*7fa26f05 7fa717d7 3cc58ee1 3cc58ee2
7fa67827 80512cc6 c4564d6c c4564d6c
80521729 7f7c428e a81df85b a81df85b
805c4e57 7fa4862f c0a5ad3f c0a5ad3f
7faadc01 8052c67e c14b80d4 c14b80d4
7fa5b2f3 7facf329 3bfe1bfe 3bfe1bfe
7f8a2a99 8052014b d20ad022 d20ad022
7fa17957 7f4cd4d2 5c790284 5c790284
8054c7c9 80572c4b 40c55e52 40c55e52
7fae59d0 7f96a4dd 4ab9083a 4ab9083a
80592d95 7f9fa64e bc7673c5 bc7673c5
805cb915 805df55e 408c3f60 408c3f60
7fa6ff71 7f9625c9 484e9ec9 484e9ec9
7fa947cc 7eda0f5d 6c7dc666 6c7dc666
7faae60b 7f880386 50f60837 50f60837
7f9c44cc 7fa1e57d 3ca2f75d 3ca2f75d
7fa113c7 80576a32 c4e2da59 c4e2da59
*7fa21284 805bf9d2 c18f3fd6 c18f3fd7
7f9ad628 7ee9d50a 680ea62a 680ea62a
*7faddf4e 7fae7edb 3fac49f9 3fac49fa
7f8e7c73 7f9fe3a7 374bc658 374bc658
*7f94f158 7fa50a30 37ed1b74 37ed1b73
7fa026be 805857fb c51375a0 c51375a0
7fa3890b 7fa7c5db 3d25e1d1 3d25e1d1
*7fa849cd 7fae01b7 3cf36b8e 3cf36b8d
7f99a017 7f90e116 44252fdc 44252fdc
805659b1 80505916 3cf74014 3cf74014
80503666 7faea37f bfb334ea bfb334ea
7fae5acc 7f9a0ce3 495264e5 495264e5
80571409 8054b47e 3e9bdd71 3e9bdd71
7f9abf0f 80574a70 c7572850 c7572850
*7f757fb9 7f9774aa 311f9679 311f9678
7fa450e2 7f94d80a 479840ac 479840ac
7fa06ed3 8051b069 c7535f94 c7535f94
7fa1b674 7fa3c6cd 3e548be6 3e548be6
7f9ad57b 80560756 c7bbfb45 c7bbfb45
7fae32d3 7fadd990 4017ec40 4017ec40
8057b7bf 805fe948 4412fb8c 4412fb8c
7fa1a05e 7fa5227c 3d5814e2 3d5814e2
7fa5f09d 7f9fa661 43175e9b 43175e9b
7fa2fc0d 7fada85c 3a3df292 3a3df292
7fafbc79 7f8271a9 55c3f498 55c3f498
7fa2cad9 7fa5ad15 3ddf1461 3ddf1461
7fa46f9b 8055c6e6 c387ef61 c387ef61
7fa35572 7fa42c13 3f55c328 3f55c328
7f94c6a1 8056204c cb2709e1 cb2709e1
*8059d929 7faed2ec c4806507 c4806506
*805be732 7fad2e9c c4fb3257 c4fb3258
7fa2fefe 7fa64a82 3da28b3d 3da28b3d
7f74ee6e 7facd828 26ae3831 26ae3831
*7fa51d6e 7f9e6c31 431ad8f2 431ad8f1
*7faa06c3 80581c6b bf48c25d bf48c25c
8055809a 7fad55a9 c18c2022 c18c2022
8054f493 7faa9e08 bfdf1eec bfdf1eec
7f991784 7faefb56 34f55001 34f55001
8052ba74 7fa20c5c bb067193 bb067193
7fac50ff 8057af06 beaf19b5 beaf19b5
*7f9954c8 8058dcc0 c73dd8dd c73dd8de
*7f8aa96c 7f9ec9ae 35db13d1 35db13d2
7faae91c 8052fb59 c1297e12 c1297e12
7fa713dd 80579381 c0e1c4b6 c0e1c4b6
805bd81a 7fadbe39 c52847e3 c52847e3
7fa6f858 7f8b04da 4d9a3438 4d9a3438
8050b992 805e0c36 45efde2b 45efde2b
*7f9ca96e 805c91ac c4331409 c4331408
805bca6e 80554d10 3c1c62cc 3c1c62cc
7fa352e3 805c8400 c021c2d3 c021c2d3
7fa35945 8051a799 c5cc518f c5cc518f
7fa915ab 7faf23b2 3ce39172 3ce39172
8056f4a9 7f98d11c b7ed3a8d b7ed3a8d
805ba7b2 7faa4ded c39ffab6 c39ffab6
7f9c204f 8054bd5d c7bef255 c7bef255
7fa971a0 7fa504d0 41af2962 41af2962
7fa125eb 80551951 c5cd0100 c5cd0100
7f9ea57a 7faf3612 37b5e041 37b5e041
8059d6af 7f9188b9 b5e38614 b5e38614
7fa43ae3 7faafc9a 3bfe7ac6 3bfe7ac6
*7f9bbb5a 80538da1 c866f0ab c866f0aa
7faa32ac 7fa7b45c 40d76c48 40d76c48
*7f98a3fa 805e6d90 c4c82c31 c4c82c30
7fa2b0f5 7faa2fab 3b6ba699 3b6ba699
*7fa1fb30 7f99c743 432915ee 432915ed
7fa1e406 7fae4d2d 39726087 39726087
7fab5131 7f8253ed 53ec7d69 53ec7d69
7fa87cd8 7f9a98c2 46bb3312 46bb3312
7fa1789d 805a60dd c3124462 c3124462
805b998d 7f99939c bb3cfb9d bb3cfb9d
*7f9debb2 7f805996 4ea3e030 4ea3e031
7faa162f 7f173ac1 678eabd4 678eabd4
7f9cee86 8055afbd c734390f c734390f
805d3843 7fad6d1c c5c9dfc8 c5c9dfc8
7f938c43 80574e71 cb558802 cb558802
7faddb92 7fa83d65 41daa622 41daa622
805cfe2e 7f9627a8 ba45f6fa ba45f6fa
7fa5aa80 7fa6c44f 3f39f393 3f39f393
7fa26245 7fa38611 3f10de16 3f10de16
7f9e0367 7faf6073 374e028c 374e028c
7fae99e5 7f82b0cf 551912e0 551912e0
7fa624c2 7fa0fc2c 426dffd4 426dffd4
7fa83245 7f8499da 51656d44 51656d44
*7fa05c9f 7fa6cc2a 3b7bb41a 3b7bb419
*8059d2ab 80568161 3deb000a 3deb000b
7f8f2158 805204f0 cfb1797a cfb1797a
7f974fb0 7fa824f5 3772aba2 3772aba2
7fa8d119 7fa57a83 413e4d88 413e4d88
*7faea657 7f265bf2 666464fb 666464fa
7fa77f72 805a4e2f bf55c597 bf55c597
7fa8be65 7fa0508c 44221dc1 44221dc1
7fafc8b2 7fa764bc 42de8cc4 42de8cc4
7fa0e9fc 7fa74823 3b9fb4cc 3b9fb4cc
7f565d4d 8059a860 de44590c de44590c
*7f8e62bb 80517b9b d011a660 d011a661
7f41a36b 7fa882a9 1d7d5988 1d7d5988
7fa8c3bf 8052c005 c274187d c274187d
7f62b09c 7f0e36c0 54029ce4 54029ce4
80543ba1 80533825 3f6fbeca 3f6fbeca
7fa8df17 7facff60 3db92c44 3db92c44
8055f82b 7fab0a21 c098d741 c098d741
*7fad5bce 805a33cd bd399c0f bd399c0e
7fae4247 7fa63ae5 42e3ad52 42e3ad52
8057332d 7f80e9c4 ac44f9be ac44f9be
*7fa14507 7f823bec 4f275afd 4f275afc
*7f9cdc7b 7f9f0e99 3ede685d 3ede685e
7faac9e0 7fa5a16a 41e85e96 41e85e96
*7fa3c1bd 805c0ee7 c025ff84 c025ff83
7faa3066 7f62ffb0 5bf8f989 5bf8f989
*7fab9634 7fa4fa47 42853ae1 42853ae0
*7fa7d165 7f9eafe2 446b2314 446b2315
8051092d 7fa201cb ba3e5659 ba3e5659
7fa187ec 7fac9f60 39ccbf29 39ccbf29
*8056fc11 80595951 40d5c3ae 40d5c3af
*805bf751 8058053e 3d5e0acb 3d5e0aca
*7fab5b1d 7fad7f3e 3ed6ae0f 3ed6ae0e
7f82927d 8052572f d5fb2820 d5fb2820
*8056d88f 7fa09bf1 bbe25d19 bbe25d18
805a5c6d 805233ac 3b9e73b7 3b9e73b7
7f9c365c 7f9e0219 3f0adf8a 3f0adf8a
80548adb 7fa4f3be bd841c73 bd841c73
7faf9cfc 805ee748 b9350bd0 b9350bd0
7fa7276a 7f9b7056 45805e23 45805e23
7fade853 7fabb243 40a38161 40a38161
8052abe8 7fad8551 c01aada2 c01aada2
7f953316 7fa9d352 352250bd 352250bd
805b3d7c 805881df 3e2384f5 3e2384f5
7fa58595 805de964 be7b40be be7b40be
7f881cbd 7ebc8092 663289f4 663289f4
7f8ef2d4 7fa144aa 36567a79 36567a79
*7f9141fd 7fad424a 316ff056 316ff055
*7faf2e0e 805ea82e b99e09d3 b99e09d4
7fad616b 8056eac4 bea11b00 bea11b00
7fafecd9 7f8809a5 52a00348 52a00348
7f80b438 7faf1ae7 28979fc6 28979fc6
7fa4aa97 7f51cf07 5d46fb62 5d46fb62
*805605ab 7fa4976f bde8490f bde84910
*805790e2 7f946570 b66a8f8a b66a8f89
*7fa3b256 7fac3b78 3b29a073 3b29a072
*805bc131 7fa9b801 c367b3f8 c367b3f9
7fa0d2e9 7fa35212 3deeb0df 3deeb0df
7f7eab87 7f8214fa 3ddd127b 3ddd127b
7f9f1b14 7f9f57d1 3fe0fe8b 3fe0fe8b
7fae3d3e 80506073 c0b343d0 c0b343d0
*7f6fdfa6 805227f7 db2abb39 db2abb3a
7f8396c4 7fa28b85 30734914 30734914
7fa1d3e0 805322f0 c61e181e c61e181e
7fa2ebf7 7fa4d1da 3e8a9860 3e8a9860
80554ae7 7fa7d1a8 bf07a56f bf07a56f
80575d5f 7fa71ec7 bf79cbee bf79cbee
7faaf498 7faa4957 40341e86 40341e86
7f97e143 7efefd81 660477ba 660477ba
7fa21afe 7fa34e01 3f018b65 3f018b65
*7fa75e45 805d0f95 be210df4 be210df3
7f9b2ead 7f74ee73 50377406 50377406
*7f9a9e2a 7fafb254 356fb1fc 356fb1fd
7fa69ce7 7f82b569 51ab6497 51ab6497
805cc1eb 7f80d0f8 aed884a7 aed884a7
8057fc42 7faa10ff c14268ef c14268ef
7f7e692a 7fae9929 2828a2ad 2828a2ad
*7faebe59 7fa0a87d 46c3b256 46c3b257
*7f6cd671 8056ba9a d9ce4d00 d9ce4d01
805ab97c 8056b3fa 3d74d98c 3d74d98c
805553c3 805977d5 41786152 41786152
7fa75c77 7f904255 4b7e944c 4b7e944c
7fa03271 7f615f2c 5787ffe6 5787ffe6
7f9daa48 7fa58634 3b069913 3b069913
7f971b91 8056319c c9ac50a2 c9ac50a2
7fada75f 7fabc038 408c6f9d 408c6f9d
7f908f1c 805c7c84 ca6c2eb8 ca6c2eb8
7fa1466d 7fa3d556 3defab5d 3defab5d
7fa2ae1e 805eedcb bf3ef7ae bf3ef7ae
7f9f2b1d 7faaf5ad 393fb7e2 393fb7e2
7fab6a4f 8050dbfb c1ea038c c1ea038c
7f9d9605 805e186d c2c7d49d c2c7d49d
805fc9c5 7fae6090 c776074c c776074c
*7f921c32 805b3414 ca111b92 ca111b91
7faf3c8b 7fa7d91b 427a7eef 427a7eef
7fa94c80 805f0650 bc13cee2 bc13cee2
7fa639ab 7f65a989 5971223d 5971223d
7faa3a63 7fa57636 41c6d3ec 41c6d3ec
7faa33b4 7fa386e4 42bc15be 42bc15be
80547c85 7fa06d5f ba99d9f2 ba99d9f2
*7fa5e17c 7faeb6e9 3b65f67f 3b65f680
*7f878e4f 7fa01530 33b7a353 33b7a352
7fa23dcd 7f94c034 4610ac2c 4610ac2c
7f20a359 7f903e91 200968f4 200968f4
7f922e06 80566621 cca35b0d cca35b0d
7fa83477 7fab54d0 3e2b780c 3e2b780c
*7fa0c63b 7fa8b706 3adc01bb 3adc01bc
80537418 7fa9dd3e bf2b9c12 bf2b9c12
7faf1d26 7faba890 40ffdc22 40ffdc22
7fa5320e 7f9fc6c4 42ac1d97 42ac1d97
7fa45f95 7fa8a626 3d3980ab 3d3980ab
7f93813f 7fa37cbb 3801da91 3801da91
7fa44eb6 7faef2ae 3a7fb786 3a7fb786
*8053601e 7f915c97 b2cf6c0d b2cf6c0c
7fa798d4 7f9a417a 4661383f 4661383f
7fac2b08 7f97cf12 4976f923 4976f923
7f9826d5 7faf8b24 34402747 34402747
7fa495d4 8053ac3d c45f73be c45f73be
7f9957c4 7fa0c0db 3c1a042a 3c1a042a
7f9f278e 7fa8bf4b 3a123ea9 3a123ea9
7fa04c01 7f80a66d 4fa91bcf 4fa91bcf
7fac6b8a 7facf7e2 3fb27bf5 3fb27bf5
7fa4ea77 7f97fe9f 45f271ce 45f271ce
*7fa5b72d 7f84d9de 5054e90b 5054e90a
7fa028eb 7fa222b7 3e41c3b9 3e41c3b9
80522e59 7f844d89 ac4016f1 ac4016f1
7fae2207 7fa7e588 421677c7 421677c7
805a49da 805e4af1 41cf20a3 41cf20a3
805a083e 805c7834 40ff95b4 40ff95b4
7fa35e53 80582a45 c2ff8d2b c2ff8d2b
*7f8176ea 7fada82e 296c11c2 296c11c1
*7fa67536 7f9ccbf1 447a60ca 447a60c9
7f9440c2 7f93a716 403e8e1a 403e8e1a
*7fa6903e 805e7e1b bdb08c5f bdb08c60
80577bb9 7f9a0aea b8efff90 b8efff90
7face415 7fa19c19 451ffe2d 451ffe2d
*7fa6d8ff 7fa37453 416536b5 416536b4
7ea8d0bc 7fae54b7 0f74aa86 0f74aa86
7f1ce389 7facfe49 18334034 18334034
7f9fe1a2 7fa752c7 3aef78d5 3aef78d5
7fa0729a 7fa00b05 4033a6ed 4033a6ed
*7fa5a77f 7fa0ec62 423c8430 423c842f
805d094d 80559850 3b7dae7a 3b7dae7a
80583f28 7fad7be7 c31c1ff1 c31c1ff1
7fa5a354 7fade6a6 3b9417bf 3b9417bf
*7f9cd093 8052aca1 c8475cc7 c8475cc6
7f77ef5f 7fa6a771 29e05a69 29e05a69
8050288a 7fa9224a bddd6eee bddd6eee
7fa48e18 7f9d21a5 434a2061 434a2061
7fabceec 7fa80d0f 413ff0eb 413ff0eb
*80553844 7fabf775 c0adc34d c0adc34e
7fa12f59 7fa9c65d 3aaaf066 3aaaf066
805a8847 7f99fc87 bac8405d bac8405d
*7fa1d36b 805fc08b bf3919d8 bf3919d9
8058f5b8 7f702c41 a8b1ec4c a8b1ec4c
805986e9 7fa37dff bec6cf68 bec6cf68
*7fa00108 7fab5a54 395c8d30 395c8d2f
80533e8b 7fa8f6c0 bec8ec95 bec8ec95
*7fae5d19 805cc574 bb5e0b0f bb5e0b0e
*805c74be 805e5e20 40de09f7 40de09f8
7f903f8f 805fb349 c80cea15 c80cea15
*805754df 7f89f380 b0ca7469 b0ca746a
7fac78bd 7fa3c99f 4382caf8 4382caf8
7fa4db01 7f826213 51137095 51137095
*80537ce9 7fac2fe0 bfe861d0 bfe861cf
*805b1565 7faff5a6 c5874f56 c5874f55
7f9ff2fe 7faff065 3800a691 3800a691
*7f8238a7 7fa37667 2efac7a2 2efac7a3
*7fac2046 7f9f471e 46634361 46634360
7fa36c0c 7f983388 44d720c2 44d720c2
805f93f4 7fa7fa36 c50aa8a8 c50aa8a8
7faf902a 805bb439 bb8f1278 bb8f1278
7f8e7713 7fa2fc37 34d660d0 34d660d0
7fa7cdfd 7fa731b8 4035e645 4035e645
*7f95ecd9 7fa75e28 37032222 37032221
7f6e5f1f 7fa29431 29fbd517 29fbd517
*805a5a5e 7faab3ee c307869d c307869c
7f938bd6 7fa550cb 36abffe3 36abffe3
7fa21216 805cabdc c10a979e c10a979e
7f8f93f5 7fac6c76 30e34633 30e34633
80575fcb 7fa1aae8 bcd96a7c bcd96a7c
7fa1bd41 7fa971e2 3b2796f0 3b2796f0
*7fae56c5 7fa9a23e 4177eb49 4177eb48
7fa5de57 8055b68f c2b0774f c2b0774f
7f969a4c 80579b97 c92c7f75 c92c7f75
7faf2871 7f9347d5 4ced9ff1 4ced9ff1
7f47baba 7fa506c9 1f8ed6d9 1f8ed6d9
7f9eb4f5 805c8afb c35fe1f1 c35fe1f1
7faca261 805e82ed bae6c79d bae6c79d
7fa62ee6 7fade037 3be1553e 3be1553e
7f789b51 80558960 d7719ac2 d7719ac2
*805a6b33 80502c16 3ad958cf 3ad958d0
7fa40c4d 7f26861d 6429ca82 6429ca82
7fae0c4c 7fa79601 42311c7c 42311c7c
7f888de5 8058777e cfa72498 cfa72498
7fa7c218 7faa2fc5 3e842809 3e842809
7f92232e 7fa5ce64 354ede07 354ede07
7fa1c5c7 805f350f bf8880af bf8880af
7fae45e1 7f930fbe 4cb4947d 4cb4947d
7f92c9ac 80575778 cbcf2850 cbcf2850
*7faf5643 7fab2a9e 413a6690 413a668f
7fa68c88 7fad9c25 3c2f3d5f 3c2f3d5f
8054b27c 7faff15e c252fd13 c252fd13
7f83ce72 805408be d4ab108e d4ab108e
7fab1bfb 7fab8025 3fc5b953 3fc5b953
7f549c57 7fafce78 1e9dc89a 1e9dc89a
7fab7183 80569880 bf5b9d5b bf5b9d5b
8053d736 7fa68d7e be02dd65 be02dd65
*7f618a47 7f85f061 343af781 343af782
7fa65bf6 7fad8a28 3c1c5a09 3c1c5a09
7f982930 7faa332a 36c13be6 36c13be6
7fa417a6 805e2d3c befb453a befb453a
7fafaf44 7f94e735 4c20528b 4c20528b
7f976ec8 805bc3db c6bc58e6 c6bc58e6
7f829b15 7fafcf09 295bdc88 295bdc88
7fa9f117 80545608 c0fefdc2 c0fefdc2
7f948547 7f86a1ec 4681cae6 4681cae6
*7fa019e3 805ee969 c0ec9c2e c0ec9c2d
7f85de10 805a0afb d010ba88 d010ba88
7fa33f71 805fba27 be89967d be89967d
7f9f66ec 80535955 c73b5b5d c73b5b5d
7faea390 7fa52afb 4394530c 4394530c
*805d6450 7fa1e302 bfad6684 bfad6683
8054e522 7fad17c6 c117e12f c117e12f
7fa53c58 805388b3 c4105fce c4105fce
7fa242c1 8052a0a8 c60d8762 c60d8762
7fa56bb5 7fa727e2 3ecd1649 3ecd1649
7fafb86e 805aa80d bc1c4bf8 bc1c4bf8
7faab096 7fa1fb2d 43dfe32c 43dfe32c
*805d48b4 7fa01c4b beb4c930 beb4c931
7f92461f 7f9a0243 3b3ddda8 3b3ddda8
7faac458 8053d3a6 c0cc7426 c0cc7426
7faefc4f 7f901a17 4f650d29 4f650d29
*7fa3eff0 80581f5f c2a3e981 c2a3e982
7fa50e2d 805eb446 be42d431 be42d431
805bf305 7fa40a7c bfff00ec bfff00ec
*7fa6c152 7fafe2f3 3b6b06a2 3b6b06a1
7fac29db 7f979efd 4989d74d 4989d74d
*7f9d2e76 7f9039be 46634e5d 46634e5e
7fafd9fa 8055588c be70a7ad be70a7ad
8057ffd3 7fac03d5 c24aeb1b c24aeb1b
7fa1280c 7fa6970e 3c26c843 3c26c843
*805420b4 7fa10205 bae3c54b bae3c54c
7fabef99 805c2086 bcc112c5 bcc112c5
805d05db 805d17a5 400786d7 400786d7
*7f6b793d 7fa1268e 2a23a636 2a23a635
7fae19aa 7faea72d 3fb6228c 3fb6228c
*7fad4716 7f963f0a 4a87512b 4a87512c
805bbed2 7faffea9 c5defc6e c5defc6e
*7fac4613 7f93b0fa 4b7c9dad 4b7c9dae
7faea5d6 7fa98d29 41987c8f 41987c8f
7fa4f803 7f94c952 4811f850 4811f850
7fa98bd2 7fa13e16 43da467b 43da467b
7fa43d26 7fa831a9 3d626782 3d626782
805acb3f 80593528 3ee2eddb 3ee2eddb
80529651 80545710 4081ca23 4081ca23
7faa733e 7fa6d377 41452a24 41452a24
7fac88b9 7f84f9f5 52e1e20c 52e1e20c
*805008a0 7faf1f0a bfc8638d bfc8638e
7f932b1c 7faa7bde 339496cc 339496cc
7fa5f283 80561e48 c26ea707 c26ea707
7fafba5b 7fa5fb23 438c22ef 438c22ef
7f84f549 7f929fd4 3900a295 3900a295
*7fa07701 7fab2fd5 39b09d27 39b09d28
*7f9edc62 7fa0315d 3f3f26f9 3f3f26fa
*7fa12af7 7fa4ab84 3d4a01ee 3d4a01ed
*7fa98146 7f543ef7 5f9f1955 5f9f1956
805fdb58 8058ef77 3b32d725 3b32d725
7fa1d354 7f40c9e4 60596484 60596484
7fad9afb 805b549a bc8a9b5a bc8a9b5a
8052a846 805d7652 44a9b04c 44a9b04c
*7fa44411 7fa47b9a 3fd49e7f 3fd49e80
7f9cf943 7fa1df0c 3cf84e23 3cf84e23
7fafd034 7fa124e8 46d85693 46d85693
7faf555e 7fa41445 447be509 447be509
8055a084 7f9ba400 b8bbd938 b8bbd938
7f87cd46 7f85c2e0 40c00c22 40c00c22
7faef6e8 7f8522bd 53b862d8 53b862d8
7fa984fe 8054976c c11a4c5d c11a4c5d
7fa31aaf 7faf7850 39b686f5 39b686f5
7f941047 7fa21197 38e21bef 38e21bef
8054c0fe 7f78d21b a8a7d9f5 a8a7d9f5
7fa6a903 7fa7dbad 3f325766 3f325766
80530571 7f2c49af 9ac3efed 9ac3efed
*8059ed45 8057c66f 3e942f50 3e942f51
80543e49 80509647 3e23401a 3e23401a
*7fafa21e 805743ba bdc4e2e5 bdc4e2e6
7f48edd4 80598f62 e0b4497b e0b4497b
*805f0a29 7f8616dc b3b71026 b3b71027
805a25aa 805eac47 4216f053 4216f053
7f9992e1 7f96da31 40f3e467 40f3e467
7fa83ba9 7fabd39a 3def0af8 3def0af8
7fa0f2cf 80526153 c6d8449b c6d8449b
7f94ffbf 7fadbc40 334c94f3 334c94f3
7f9b9cd5 7f6bb87e 521ae82f 521ae82f
7fa98fd9 7faec13a 3d4c65fe 3d4c65fe
7f847367 7f803ee5 42121d2c 42121d2c
7fac7d7b 7fa2eb44 440c1608 440c1608
*8056cb41 7fa60d54 bedb162f bedb162e
*7fa1ac7f 7f97d036 43dff9e7 43dff9e6
*7faa1d0d 7f9c53f2 46bfcf5f 46bfcf60
*7faed360 7f3a1394 643f083e 643f083d
7f80dd35 7fa07fde 302d41d2 302d41d2
805cd069 7fae545a c5e0f197 c5e0f197
7faea9d7 7f974792 4a8996d0 4a8996d0
7faf3ca8 8052e125 bf6b2806 bf6b2806
7fac23a7 7f981a25 49570af9 49570af9
8051357f 7faacd7f becf40a2 becf40a2
*7fa7b0dc 7f19143a 66a40f66 66a40f65
7fadaea5 7fa3d483 43f97edb 43f97edb
805c7f3b 7faee424 c5e60231 c5e60231
7f9d4404 80543015 c794f7eb c794f7eb
7faf564d 7f98a14d 4a2db129 4a2db129
7fa80499 7f97ab0f 481e43c8 481e43c8
*7f966995 8056a69b c9da8824 c9da8823
7fa0059d 805a8b16 c40d79ed c40d79ed
7fa2f740 80580a35 c355c7c6 c355c7c6
*7fa6609d 7fae2c0b 3bddd6d2 3bddd6d1
805eb0cf 8056663b 3ad16c49 3ad16c49
8058b722 7f550213 a1f18935 a1f18935
805e7070 7faf17c0 c6f6a204 c6f6a204
7fafd41c 7fad2140 40bdbb6e 40bdbb6e
*7f85f7e9 7f91167c 3a48f168 3a48f169
*7faec950 7fa3ee6a 445b63f6 445b63f5
*8057a2fd 7fae9d6d c34468c9 c34468ca
7fa10fab 7f9a41ef 42656e8c 42656e8c
7f8ca2a7 805fd8fb c9d142db c9d142db
80510f62 7f9d974e b7a2a4df b7a2a4df
805e7668 7fa21f0d c083f624 c083f624
7fabec9f 7fa59f11 425505cc 425505cc
7f5f00f7 7facfc02 20b1e1bb 20b1e1bb
80546ab9 7fa06e6f ba920d8e ba920d8e
7f9e361b 80505757 c8bb4624 c8bb4624
*80560d80 7fa11316 bbd7c43a bbd7c43b
7fad5358 805a7838 bd1a836e bd1a836e
*7f503091 7f98dccc 2781891f 27818920
805acf90 7f8e3c11 b4c96afd b4c96afd
7fad817b 805822e8 be1bc96a be1bc96a
7fa2073c 7faf0300 394d2804 394d2804
*7fa4914d 7facd6cc 3b6934c9 3b6934ca
*7f8a4813 7fa212ca 33a21cac 33a21cab
7f83e6cc 7fa89941 2cf1df03 2cf1df03
7fa115ca 7fa98b01 3ab3b854 3ab3b854
7fa5700d 7fa7a7cf 3e7ffb8a 3e7ffb8a
805b9dca 7faa69c0 c3a703c7 c3a703c7
7fa0410f 7facb393 390fa2c2 390fa2c2
7fa3f4c0 8055b11c c3dcfae0 c3dcfae0
7fa45b62 7faf928a 3a50fae1 3a50fae1
80501adc 80549c72 4150f339 4150f339
7fac461e 7fa40f9d 434671db 434671db
*805a4d8e 7fa0a181 bd9020dc bd9020db
7fa3a229 805a686c c1738e7c c1738e7c
7fa53df3 805fe847 bd70ae9e bd70ae9e
7fae7809 7faf8b81 3f744758 3f744758
7f2271ff 7fa21a31 1b9c7acf 1b9c7acf
7f93e7dc 7fa5c7bb 369f7b16 369f7b16
80520279 7f90d7ee b1c1789d b1c1789d
*7fa5533c 7f68c40f 585eab62 585eab61
*7faa8628 7faf472b 3d9172a3 3d9172a4
7fa853fb 7fac88a2 3da44727 3da44727
7f8ecc85 805da739 ca920156 ca920156
*7faf14e2 8052394a bfa637bf bfa637c0
*805cee64 7fa768df c2f78615 c2f78616
7faec1ec 7fa4a185 43ed3fa3 43ed3fa3
7f84405c 7fadd6ce 2adbe72a 2adbe72a
7fa6dacf 7fa1fe7b 42293797 42293797
*7fa53fad 7fa59cba 3fbb1cc9 3fbb1cca
*80569fff 7faa4fc5 c091ccfb c091ccfc
7fa3738a 7fad7ace 3a8ea04e 3a8ea04e
805c4e3e 7f91666c b6f1f63a b6f1f63a
*7fa31788 7fa2ee3e 401172d5 401172d6
805302fa 80568b13 411c1ed7 411c1ed7
7fa0690b 805f513a c042e07c c042e07c
*7f9e7c91 80538095 c77111db c77111da
80523f76 7fa24144 baf625ba baf625ba
805a0ba7 7fa2a883 be963160 be963160
7f524f8c 7fa949ef 1ff5318f 1ff5318f
7fa62916 7f7d1f32 539a1ca1 539a1ca1
805b8b32 7ee97b20 95cb4c6e 95cb4c6e
7fa67a75 7f9a3b5d 45b5e67e 45b5e67e
7fa97ead 7f994719 481196f0 481196f0
7fa0efa2 805509e0 c5f30f6a c5f30f6a
*805cd84a 80576a18 3c7746fb 3c7746fc
7fa2278e 805656f7 c4ae1a7f c4ae1a7f
*7fa40da5 7f717308 54fa1e9e 54fa1e9f
805b60d6 7f397b8b 9e4291ff 9e4291ff
7f950290 7fad8865 3361f289 3361f289
*7f8cf395 7fae8eec 2f28a073 2f28a074
80509f96 7fa29dbc ba843204 ba843204
*7faf74db 7f9641bb 4b4e8782 4b4e8783
7fa02621 8056b1bf c5ca1e2e c5ca1e2e
7f935f93 7fa0c5b5 393d9a79 393d9a79
7fa94f7b 805924a5 bf24274c bf24274c
7fabe693 7f92eb37 4bcc4e65 4bcc4e65
805fd664 8055965c 39ca70b2 39ca70b2
7faa3b50 7f924bbd 4b78523a 4b78523a
*805ebb00 7fa621e3 c383f510 c383f511
7fa29b7a 7fae9165 39bd5181 39bd5181
7fabb840 7f99ad95 48a2d656 48a2d656
*7f8edd0d 805a7341 cc8adddd cc8adddc
*7f6f8adf 7faa52bd 2672e75a 2672e759
805904a5 7faeb0ed c404de5b c404de5b
80572988 7fa0bbe8 bc2037a3 bc2037a3
*7f92485c 7f94446b 3e6ee9ad 3e6ee9ae
805b0ea4 7fa228d3 bec61944 bec61944
8058d324 7fa2382c bdd2edfb bdd2edfb
*8052e4b9 7fa1f751 bb0a1195 bb0a1194
*7fac5a62 805df35f bb6ede7e bb6ede7d
80542024 7f84b615 ad3badd4 ad3badd4
*80502b8b 805704dc 42317cd2 42317cd1
7fa724d7 7fac85ec 3cfb873f 3cfb873f
7fa4f83b 7fab44f9 3c4dbe4a 3c4dbe4a
8051b372 7fa523c5 bc88a70c bc88a70c
805249d6 7fa8d848 be6ed3eb be6ed3eb
7fa1a9b2 7fa74211 3c269fd6 3c269fd6
7fa41d41 7fa35d24 404f5eb5 404f5eb5
805c65d6 7fa66717 c200073b c200073b
7f986ad5 7fae0f88 34ff0c7e 34ff0c7e
805da928 7f0955df 98e6bbc6 98e6bbc6
7fa16cab 7faa0eff 3ab2db12 3ab2db12
7fa6b9c2 7fada215 3c4537c2 3c4537c2
7fa81e86 7f818baa 52ff4f34 52ff4f34
7fadf4c1 7f931662 4c8e2888 4c8e2888
*7fae71e9 7fa4ae84 43c6cde1 43c6cde0
80572d47 7fa6906f bf32f898 bf32f898
7fa9116f 80552208 c1124292 c1124292
7eae80d9 7fa78358 10b31caf 10b31caf
7fa9d08c 7f8d239d 4e2cb016 4e2cb016
7f9c278e 7fa2e1fc 3bed9a84 3bed9a84
7f98d5e0 805e2f68 c4d8e7b4 c4d8e7b4
805a6553 80563b7c 3d6a2f16 3d6a2f16
7fa5784f 7fa8791a 3e0960d8 3e0960d8
7fa91d79 8051e33f c2a7bd08 c2a7bd08
7f8aa209 7f782cc4 472be469 472be469
80581ebb 7fac20d0 c26a9f0e c26a9f0e
7fabfbe8 7f478664 61aa0058 61aa0058
7fae040f 7f6a4694 5b5c2baf 5b5c2baf
8054bf00 805622c9 406e0c28 406e0c28
*7faec539 8050bae6 c0416ea9 c0416eaa
7f8d7bf2 7facc949 3031a6c8 3031a6c8
*7f75f4fe 7f983bf8 30e7e985 30e7e986
7f6ec11d 80522489 db78fae7 db78fae7
7f99b1c5 805a4b0e c687c709 c687c709
*7faeedd2 7fa03833 474153bb 474153ba
7fa6690a 8051d9e3 c41b5afb c41b5afb
*7fa25cbc 805341ec c5c7417e c5c7417f
805c24f3 7fa14a8e bed04396 bed04396
*7fa37a20 7f68dfc8 573f97a2 573f97a1
7fa32472 8055d6db c44ade4b c44ade4b
7f873fa6 7faf4965 2be3ab76 2be3ab76
7fa72169 7fa94a08 3ea25de9 3ea25de9
*8051d761 805a45db 431ac21a 431ac219
7faf20e3 7f8e9d28 50226c78 50226c78
7f931e7e 7fa6424e 35be3618 35be3618
8050f152 7f96cd41 b51a8138 b51a8138
80508829 7e88d9e0 8e8b5d0f 8e8b5d0f
*7fa30dea 7f942ed0 471af9aa 471af9a9
7fa65484 7fa3ff3d 40eef24d 40eef24d
7fa57e08 7fa6dcac 3f0a9a8c 3f0a9a8c
*7fa41174 80588bf2 c24f3353 c24f3352
*8050f414 805a65f6 437f70e9 437f70e8
7fac8b2e 7fa6721c 422c6395 422c6395
*7f48545d 7fa09ca2 21b60631 21b60630
*7faefe0e 7f636b6f 5e0005d0 5e0005d1
7fab0298 7fab893c 3fb1c429 3fb1c429
7fa8a8d2 7fac453b 3df4d193 3df4d193
7f984bbd 7fa7a6e0 3837c36a 3837c36a
7fae7bf3 80572877 be2ed8b5 be2ed8b5
*7fa3301d 7fa724c6 3d43e9c4 3d43e9c3
80536db3 7faf55fc c169574d c169574d
7f9d974d 7f5d34c0 57788cbd 57788cbd
80567e74 8051fbc3 3d988117 3d988117
7fa90e6c 8059ec28 beebac27 beebac27
*805151c0 8057da12 422a0517 422a0518
7f97ab9b 8057d034 c8577283 c8577283
*8059d5d6 8054e439 3d150247 3d150246
7fa1bc5c 805b2795 c2630505 c2630505
805cbe4a 7fad9229 c594ac2c c594ac2c
7fa2f7c9 7fa6941f 3d70fb8a 3d70fb8a
*7f676611 8054b424 dc73fa72 dc73fa71
8051bbb6 7f9e6956 b8137db3 b8137db3
7f6464d5 7fa4b37f 261081c1 261081c1
7f87232d 8054bcaf d26bd319 d26bd319
7fa967a3 7f8e1203 4d847d8e 4d847d8e
7fa3f159 7fabadf2 3b871fc5 3b871fc5
7fac37ff 7fa9ba25 40c657fa 40c657fa
7f154fcb 7fa59fa4 18ee4e3a 18ee4e3a
7f47d4b7 7fa45bfa 1fd35ab8 1fd35ab8
7fa14d94 7fad76a5 39657684 39657684
805e161e 7fa1b835 bfe9928c bfe9928c
7fa31648 7f9ea989 41f5bb4b 41f5bb4b
7f8850b7 7f818f75 4313ccbd 4313ccbd
7f9996d3 7fa42a4d 3a26dbb6 3a26dbb6
*7fa61c11 7fafe1b2 3b188a8d 3b188a8e
80537f11 7fac303f bfe919be bfe919be
7f81163e 7fa04a7a 3064103a 3064103a
7fa05a8c 7faa61a1 39eb17f7 39eb17f7
*7fa02c2c 7fa3d99c 3d0928fb 3d0928fc
7fa691e0 7fa471bc 40d4ed26 40d4ed26
*7f809057 8056ec6c d56e7580 d56e757f
*7f9da6ba 7fa45043 3bad6d91 3bad6d92
7faac608 7f8594f8 51eca872 51eca872
8058c1ff 7faeea1d c3f88992 c3f88992
7f98a353 7fa7955c 385b93ea 385b93ea
7fa33a60 7f8c5ec8 4ad813ff 4ad813ff
*7facfa99 7fabfc5f 4048ac47 4048ac46
805601d0 7f929887 b4d156c8 b4d156c8
7fa391b9 7fa93c30 3c6859e5 3c6859e5
*7fa063f5 7fa08c53 3fd8f84d 3fd8f84e
7fa5388d 7fa665c4 3f28d29f 3f28d29f
*7fa9d897 8058ca48 bf17626e bf17626d
7f9f43e9 7f947917 44379866 44379866
7fa9569b 7f836a0a 5270ee28 5270ee28
7f289401 7fa32f30 1c3af7c3 1c3af7c3
7f9b4994 7fa04445 3d6b8722 3d6b8722
7fad6bbb 7f8bed91 506d78a4 506d78a4
7fa51ec6 7fae0e0b 3b3e5972 3b3e5972
*7faa2a94 8052c391 c1ae1312 c1ae1313
7f9ca4a1 7fa79651 39b6fd14 39b6fd14
*7f937dea 80537118 cd145b40 cd145b3f
7fa5a45c 7f7e989e 53104e69 53104e69
805634a8 8053f6ad 3eb86ff0 3eb86ff0
7fab7fda 7f9fe73a 45ca9a49 45ca9a49
*805767c4 7fa12437 bc8584be bc8584bf
7fa3dd27 805d9c6c bf5bbd28 bf5bbd28
7fa51ae4 7f9eba03 42fd667c 42fd667c
7fad9008 7fac82a8 404b9626 404b9626
7f204e15 7fa16d30 1b6a4697 1b6a4697
805a1f14 7fa59c1c bfe68684 bfe68684
8050ca31 7f8ebc74 afe069e3 afe069e3
7fa21ec5 7f496d21 5dff3f52 5dff3f52
*7fa91c4c 7fac3dd9 3e39e3a1 3e39e3a2
7fa6e785 7fa9e67d 3e263406 3e263406
8053ecf5 7f920c93 b38e738b b38e738b
*7fab31e6 7fad0942 3efc4377 3efc4378
80554423 7fa2196c bc2ef8c6 bc2ef8c6
7fa6efbf 80593117 bff47b98 bff47b98
7fab1fb6 7fa221a4 43f7ba21 43f7ba21
80563a59 805063d8 3d0b8738 3d0b8738
80543b10 7fad2a18 c0c3f0d5 c0c3f0d5
*805994ef 7faf5ac5 c48f65ce c48f65cd
7f9e977a 805fcf48 c0e249f8 c0e249f8
7fafbe9d 7fa386ce 450174ed 450174ed
*805cf960 8059538c 3d6d07b4 3d6d07b3
7fa2e193 7fac451e 3aafa9f3 3aafa9f3
7fa922d5 7fa2a030 42cbd894 42cbd894
*805fcbea 80561c35 3a038838 3a038837
7fabf3bc 7f6ad7cf 5a705b1c 5a705b1c
7f66151e 7fa51690 26726d70 26726d70
7fa54dad 7fa22d3d 41604a9b 41604a9b
7fab32c4 7fa14168 449c14ab 449c14ab
7fa4daba 7fad9417 3b47e5eb 3b47e5eb
805657f9 805a2865 4165a0a5 4165a0a5
*7f9f82a6 7f5157a7 5a37bae1 5a37bae2
*7fab69cf 7f827031 53e4e44c 53e4e44b
7faf1ed4 7f5838d5 606d9fd2 606d9fd2
7f9d88e4 805ee027 c233c849 c233c849
*80534c84 805a2e41 4285efdf 4285efde
7fa37c33 7f66b57d 580dd40f 580dd40f
7f97e00d 805240a6 cb289116 cb289116
7fa14b7e 7f9e7915 4114adb5 4114adb5
805c6ee5 8054c7b1 3b805f5a 3b805f5a
*7fa1a7e2 7fa85eed 3b976885 3b976884
80570857 7f6f3616 a789da58 a789da58
80579b77 7fa3773c bdf9a210 bdf9a210
7f8eec4d 7fa94359 31cad62d 31cad62d
7f97ac52 7f9d86d5 3cd3fb84 3cd3fb84
7fac36bb 7fa3719f 439bbb31 439bbb31
7f63aa69 8053f1cf ddd47747 ddd47747
7f9969f3 805e10d0 c4a9e0e5 c4a9e0e5
7fa2b539 8058ebb5 c307cf54 c307cf54
7f504dd8 7fa4efdf 213a7c96 213a7c96
805cdd82 805e3768 409b9a86 409b9a86
805300ae 7faf92ba c14e2917 c14e2917
7fa7231c 7f820c74 524165de 524165de
7fa4829a 8054009f c4476571 c4476571
*7fa2ba84 7fa78347 3cbe92de 3cbe92df
7f793593 7f93bb42 3398fa19 3398fa19
*7fac0665 7fa815f3 414ef3ac 414ef3ab
7f9bbc7e 7f9d81d3 3f0a2f33 3f0a2f33
7f9f3275 7fa17198 3e4ebadf 3e4ebadf
*7fa89e8a 7f973f3f 4878e3eb 4878e3ec
8059878c 7f89f327 b2252ec2 b2252ec2
*7faa6089 7fa920c7 4065cd16 4065cd17
7fa7ee62 7fa2ff13 4214054a 4214054a
*8054c066 7f4ebdb1 9f561578 9f561579
*7fa97bdd 7faf20ef 3d193d59 3d193d58
*7faa96b3 7fa16a3f 4436d12b 4436d12c
*7fa22002 7faf571a 3940d7ef 3940d7f0
7fa2e02c 7f9737bd 4501fce1 4501fce1
*7fae7808 7f9aedc1 490d3cc2 490d3cc3
7fad061d 7fa0980a 45fe17de 45fe17de
7f999bf3 805fe64a c3467921 c3467921
*7fac33e8 7f968c03 4a01bc51 4a01bc52
7fad5d2b 7fa93a06 414fe18f 414fe18f
*7fabde0c 805a2b25 bdc9c64b bdc9c64c
*80592051 7fa811f5 c0cb9657 c0cb9658
*7fa57126 7f89f5ee 4d370e53 4d370e52
7fa14bfb 7fa14f33 3ffd0655 3ffd0655
*7fa0a8a0 7faa6c4d 3a165dd6 3a165dd5
80596127 805619c7 3df9740c 3df9740c
7f3a71ed 7faaad23 1c61bd12 1c61bd12
7fa768f9 7fa19267 42a86fe8 42a86fe8
7fa5196f 8050ca20 c52ef621 c52ef621
7fa7ac2d 7fa60336 409a71d2 409a71d2
*7fab7742 805f1526 bb0303de bb0303df
7f8e5677 7fa090b0 36a6bdab 36a6bdab
805fdf45 7faf41cb c7be904c c7be904c
7f8a4f99 805b5688 cdd014d6 cdd014d6
7f9cade4 805e2984 c3234593 c3234593
7fabe737 7fae3638 3ec701ef 3ec701ef
7f9ad6a0 7f67b1dc 52d16209 52d16209
7f93197e 7fabc241 330245c3 330245c3
*7fa0a4a2 7f85cfdb 4c355bdc 4c355bdd
7fa9f87a 7fae0ff1 3dd2825f 3dd2825f
*8057ef15 80538538 3d853c9c 3d853c9b
7fa9d232 7fa893b7 4067aaf3 4067aaf3
7f91f25e 7fa3caac 36822de9 36822de9
*7fa6a3a8 7fa0e844 42b652c8 42b652c9
7fa74fe5 7fa075da 43547e45 43547e45
80597bf9 80504914 3b5bf45f 3b5bf45f
80544086 7ebe843c 90fb4edc 90fb4edc
7fa13156 7fab906b 39fad1b6 39fad1b6
7fa0957b 7faaad51 39f24cc9 39f24cc9
7fabd97e 7fa4d602 42b160fa 42b160fa
7faaccf1 805d0ad5 bcb0bc20 bcb0bc20
*7fa0666c 805b626c c3458c3d c3458c3e
7f8ee095 805c3feb cb7e3229 cb7e3229
8050386f 7f685170 a3637320 a3637320
7fa94ac0 7fa09eda 442c8298 442c8298
7f9bcf08 7f405c9c 5dbfb9c3 5dbfb9c3
7facdec5 7f9c3fe5 482cfde7 482cfde7
805387fc 7fa62985 bdb934f8 bdb934f8
7faebece 7fa16f80 461b620c 461b620c
*7fa72c69 7fab9d93 3d6d2522 3d6d2521
7faf8f72 7faab466 4174621a 4174621a
7fa1c718 7fae4e3f 3962c517 3962c517
7f9847cb 8058f381 c7929054 c7929054
7fa9ebd2 7f9766ae 48dc8cf4 48dc8cf4
8053a7e7 7f9765d1 b64f0a89 b64f0a89
7f94032e 7f9f9796 3a22a939 3a22a939
7fa4a669 8053ed68 c43b0287 c43b0287
7fab7f23 7fa84e4f 410cd973 410cd973
7f9f40a3 7f8602e5 4b5bd3f7 4b5bd3f7
80581a1c 805446ba 3dcac68f 3dcac68f
7f92dc44 8053993d cd5ffc56 cd5ffc56
7fac950a 7fa90e72 41202df7 41202df7
7f9233ef 7f82bc97 478b4dc3 478b4dc3
7fad552d 7fa28819 44a9ab24 44a9ab24
*7f9090c8 7fa9ea39 323a4733 323a4732
805b968c 7fa1625b be9b4f34 be9b4f34
7fae995e 8058ebb9 bd64b39c bd64b39c
8053ea73 7f8b8705 afd693e2 afd693e2
7f6d5f14 805f80fe d4ff8fda d4ff8fda
7fa8441d 7f4de9e3 603a666c 603a666c
7fa86cb8 7fac2e90 3dddec19 3dddec19
*80585110 7fab42aa c2197814 c2197815
7f975f1d 7f254b2c 610375d6 610375d6
7facb1f8 7f80711a 55f649e6 55f649e6
7facc3bd 80550308 bf7931c1 bf7931c1
*7faf5ef0 7f90e695 4ed9779f 4ed977a0
7f8660d7 7fac10e8 2cc1eb7c 2cc1eb7c
805c8f90 7f65bf1b a7c29611 a7c29611
*805113f0 805bee80 4453a39d 4453a39e
805acf3c 7f8ab099 b34bf74b b34bf74b
8057ff68 7fa75ecd bfc89dac bfc89dac
80590817 80591358 4003ed64 4003ed64
80534d4e 7fa3d754 bc6dca78 bc6dca78
7f9f7efe 805b4cb6 c3d3eb8d c3d3eb8d
805b3622 7fa8c796 c293b717 c293b717
*7fa4600e 805e836f beadb05e beadb05d
7f993dd5 7f807e33 4c3e5880 4c3e5880
7fa9d024 7fa7d50b 40aa3903 40aa3903
*7face723 805e3032 bb04bc92 bb04bc93
7f8961ea 7f930c1b 3aa92be6 3aa92be6
*7fa24fbe 7ed13d25 6b3680b2 6b3680b3
80515b19 7f9e6dc4 b7f1810a b7f1810a
7f9cb1a2 7f8ece51 46e72dee 46e72dee
7fa8bcf0 8050a88f c35f025d c35f025d
8057dc32 7faa1809 c132b9fe c132b9fe
7f9fbee4 7fa63503 3b6fad0a 3b6fad0a
*7f5973c1 7f9138c4 2d581708 2d581707
7fa6dd93 7f934fa2 4978f62f 4978f62f
*7f6b46b8 8056bd04 da4b87de da4b87dd
7fafdcd4 80548009 bebb17bb bebb17bb
*7f9eb2cd 7fa6ba68 3ace30f1 3ace30f0
7f9c4fb4 7fa20dd2 3c8b91bb 3c8b91bb
*7fad776d 7f9c095d 486873ed 486873ee
7fa68ddc 805cd0d2 be984181 be984181
8054bf17 7f82d6d9 ac6d498c ac6d498c
7faedea4 7f636828 5df31f77 5df31f77
7fa2ba64 7fa56c81 3dfc9a08 3dfc9a08
7f9e0eaa 7fa7797b 3a3e4d49 3a3e4d49
7faa2236 7f9bcbf5 470aefef 470aefef
*7fa9affd 7f99b936 47fa4376 47fa4375
7f7527a9 8058b752 d6de4e63 d6de4e63
*7f81585a 7fad74b1 296bf01f 296bf020
7fa69d4d 7fae0614 3c0d2f76 3c0d2f76
7f94a299 7fa9efc8 34bac51f 34bac51f
8056bd67 805ea763 43a66109 43a66109
7fa76478 7fad5ab3 3cc01ae8 3cc01ae8
*805cad77 7f56b8a2 a4b35a9f a4b35a9e
7fa74a7b 7fa36ade 41988b93 41988b93
7fa05d28 7f9d5de7 40ea63cd 40ea63cd
*7fa0c591 7fad0a9f 393d7ae6 393d7ae5
7fa064b9 8058100e c50adad8 c50adad8
7fa0757d 7f307843 616ee235 616ee235
7fa6bf01 80513b0f c42c0b86 c42c0b86
7fadcc81 7f8c8ee8 5058f7ec 5058f7ec
*80594b1c 7f9c83fa bb426686 bb426687
8057e59b 7e50e8e4 8d9de5fd 8d9de5fd
*7f9efa18 8055b21b c694323f c694323e
80572dd8 7fa8c829 bffcc5ea bffcc5ea
7fa3f418 805f1372 be916706 be916706
7fa8d245 80537125 c217f081 c217f081
*7fa4c58d 8050001c c59d3069 c59d306a
7fac394d 7f91734c 4cf06311 4cf06311
805f138e 7fa50b92 c3222da6 c3222da6
7f985595 8053d91d ca2b724e ca2b724e
*7fa50bae 80586417 c1bcbb61 c1bcbb62
7f992586 7f807cf6 4c337883 4c337883
7fad6faa 7fa8bd8e 4184b5eb 4184b5eb
7f8fe168 7fa27b59 35ccba22 35ccba22
805121a5 7fa48b1c bbfaab16 bbfaab16
7fa69a97 7fae9150 3bd4df4d 3bd4df4d
805d48aa 7fae92f1 c6349852 c6349852
7fa10ca8 805e6a4f c07caf82 c07caf82
7fa31e0d 8057a73e c36fc318 c36fc318
7f99f73d 805066b7 cada2dc2 cada2dc2
80563a2f 7fae0847 c244f3fa c244f3fa
805ed106 7fa9583c c526f0f6 c526f0f6
*8055af86 7fabcca5 c0dace76 c0dace77
7fa9bef3 805be9b6 bdbf0c30 bdbf0c30
7fa9d4ed 80588ffa bf2ecbfc bf2ecbfc
805541f1 7faf8473 c26c8df0 c26c8df0
*7f81727c 7faf00e5 290105be 290105bf
*7f96dc4e 7fae318b 341d3935 341d3934
805790de 7fa0b019 bc495a6b bc495a6b
7fadb5fe 7f97dafa 49f6b37d 49f6b37d
7f9f61fc 80592424 c5044fff c5044fff
7f943e10 7fa741dd 35ed08d5 35ed08d5
7fa9d43e 8057d505 bf733b19 bf733b19
80532f59 8059853b 4241318e 4241318e
7fa0f92a 7f9a9cc4 42346b07 42346b07
7f31c5ec 7f959ad0 2137190e 2137190e
7fa52368 7f7f6718 52ab3141 52ab3141
7fa452a3 7f887248 4d4d1698 4d4d1698
7fa144d4 7fa519ba 3d18354c 3d18354c
7fa0e9fa 7f89a0ed 4a8f36b0 4a8f36b0
7fa9102c 7f84f271 51926fa4 51926fa4
805c84ce 7f94aa00 b8ea7580 b8ea7580
7faa5657 7fad6ec7 3e513892 3e513892
7fa0bd3b 7fa36683 3dce2196 3dce2196
7f6ab630 7fad4208 23adbc6a 23adbc6a
*7fadbb83 7fad01ba 40333d39 40333d3a
*7faab0cf 7f9cf17c 46c135f4 46c135f3
7fa0683d 7fad5c32 38f0f28b 38f0f28b
7f97758d 7f9c4780 3d45d9a2 3d45d9a2
7faa5dec 7f89ae56 5036b292 5036b292
7fac9c7d 7f958c53 4a9f4d56 4a9f4d56
8053c7c8 7f90ae88 b2778354 b2778354
7fa8e9e8 7fae25b4 3d38eeb7 3d38eeb7
805ec2da 7fa58667 c32f9cc0 c32f9cc0
8058ebdc 7fa28aa0 be0ad8e8 be0ad8e8
7fadddab 8051d5c5 c0289c2b c0289c2b
*7fa0b054 7f994107 4292c9a2 4292c9a1
7fa75c2c 7fa99c30 3e9821e0 3e9821e0
7faf2b23 7fa539d6 43bf5161 43bf5161
7fab71f6 805fdb78 ba6612f2 ba6612f2
8051da59 7fa2ce22 bb2ccd45 bb2ccd45
7f92ef51 7f40afa4 5944c8d5 5944c8d5
805807f7 8054e99f 3e286f27 3e286f27
7fa0e0f5 8059e250 c3c9f6bd c3c9f6bd
7fa7b7c0 7faa589e 3e675e4d 3e675e4d
7f95cf9c 7fafbfb7 32fde2d8 32fde2d8
7faf423b 7fabc8c3 41001b89 41001b89
7f934410 7fa6f9fc 356a824b 356a824b
7fa62161 7fa489d6 409ebe5f 409ebe5f
7fa6b9b4 7fa5639c 407ff360 407ff360
7fa05299 7f0dddf5 65b1a39e 65b1a39e
7f98bb31 805673f9 c882cb18 c882cb18
7fa4e592 7f7174bc 558562a3 558562a3
7fabd796 7f84e0af 52ab2e3a 52ab2e3a
80537308 7f906a5d b216030c b216030c
7f97584a 7fa8589a 37578ddb 37578ddb
805b4c7a 7f8e0eee b4fb1241 b4fb1241
7fad90c5 805ebf82 ba4a3cb9 ba4a3cb9
805fb8e9 7f9279d5 b9e754a4 b9e754a4
7f8c606e 7fab0563 3066bf5a 3066bf5a
7fa0c0a7 7f82a385 4e617e6f 4e617e6f
*8059c4e5 80507991 3b486a72 3b486a73
805de5cc 7fa0dd01 bf69850c bf69850c
7fab7ef4 7faea673 3e5a78a3 3e5a78a3
7fa585e3 805543d9 c31e839b c31e839b
7faf2003 80536baf bf499372 bf499372
*7fa620db 7faa9ef7 3d4cbfdd 3d4cbfde
80521221 7f974d7a b5b99cee b5b99cee
7fafe2d1 80513223 bfb812e6 bfb812e6
7f9e24d7 7fa603ab 3af44c17 3af44c17
7fabd11a 7fa1248c 44fb3307 44fb3307
8051aa67 7fabb3eb bf3d7486 bf3d7486
7fa256af 7fa0bcd2 40c3e69f 40c3e69f
*7fa1b795 7f9c4f91 42034ed0 42034ed1
*8051e15e 7fa361fc bb918730 bb91872f
7f93b767 7fa4380d 379a321c 379a321c
80541ed0 7fab9349 bfe96640 bfe96640
80525fd7 8056002e 411da697 411da697
7f9dea8a 7f987713 41c84fb6 41c84fb6
7faa383b 7fa31414 42fe957f 42fe957f
7f8f3b47 7fac9812 30bce898 30bce898
7fa91443 7fa6c416 40d01776 40d01776
8058d39b 7fa34c7e be64d2ba be64d2ba
7f93b058 7fa0a26d 39780c04 39780c04
*7f9fc923 8052ec6e c7412ca3 c7412ca4
*7f8d9a11 7fa8b82d 3194812c 3194812b
7fa638ee 7fa13eb0 424f20eb 424f20eb
80595a9a 7f8875fa b12fe234 b12fe234
7fa42b1e 7fa0c11f 41a15266 41a15266
7faed5ab 805a640c bc95a9b2 bc95a9b2
7f5ff9de 80583046 dd40bd22 dd40bd22
*7fa0818b 7fa62aed 3be9e070 3be9e071
7fa8f165 7f9eae6d 4501ea6d 4501ea6d
7f23be64 7faaf134 194e858d 194e858d
7fa7877c 7fa11085 4307dca8 4307dca8
7fa11c22 7fa078e1 404f497e 404f497e
7f13dd35 7fa1ab1b 19deef29 19deef29
*805b6a16 7fa7ef37 c23d197b c23d197c
8052bb9f 7fac8637 bfcaaa82 bfcaaa82
*80586818 7f72359b a8f694c3 a8f694c2
7f9c62a4 7fa3d22e 3b74e754 3b74e754
7fab1290 7fa0ecf1 44cbc968 44cbc968
7fa05864 7f77aa86 51656c21 51656c21
7fa4104b 7fae89b6 3a831a10 3a831a10
*7fa74cd5 7f9e45b6 4450a0a6 4450a0a5
7f9eed4d 8057a53a c5d752af c5d752af
7fa29d83 7f786f15 528e3628 528e3628
*80562ef0 7f93d4b1 b595d295 b595d294
7fa2a9cc 7fa5a595 3dcb74df 3dcb74df
*7fa18f92 80554750 c57c2fb5 c57c2fb4
7fa92c88 7fa7be81 407b52e2 407b52e2
*7f9e6352 805bd653 c3f1656e c3f1656f
805d6417 8050c13b 39877f49 39877f49
7fa11da4 8056909e c53bb818 c53bb818
7fa87fbd 7f07a5ed 68f17aad 68f17aad
*7fa266f2 7f878f4f 4c7f4f9e 4c7f4f9f
7f4210da 80538495 e337406d e337406d
*7f98e8e5 7fa99043 37973f0a 37973f09
7f7d3e12 7faedb65 27e0b3d1 27e0b3d1
7faf7418 8054e468 beb7ae5b beb7ae5b
7f65ff9b 805be889 d8deb582 d8deb582
7fae74ab 805633da be8e30a5 be8e30a5
7f9587db 7fade8c3 338493ff 338493ff
805b1842 805d23e7 40de1f17 40de1f17
7f93a298 80598cee ca017dd6 ca017dd6
7fa357c3 7fa4953a 3f093880 3f093880
7f8dd6c2 7fae7006 2faf6efa 2faf6efa
8051b921 7fa146fc b9fb11c4 b9fb11c4
8057fcf3 7fa510e4 bee199c9 bee199c9
8055dc37 7f9ee547 ba768193 ba768193
7fa380ea 80579558 c3382ff8 c3382ff8
7f2e681e 7fab7ddd 1ac08aab 1ac08aab
7faca63c 7f8a575c 50b373d0 50b373d0
*7f95e100 7fa02725 3ad5fd58 3ad5fd57
7f9c565d 805baa20 c4da1f0b c4da1f0b
805ba501 7f95a2d6 b8f2707c b8f2707c
7fa23ff7 7fa69582 3ceded47 3ceded47
7faaf890 80506b27 c255f6ca c255f6ca
7f63fa2e 7f8a7646 32e06c7c 32e06c7c
7f967924 7fa47f9b 38c54bfe 38c54bfe
7fad3313 80563521 bef1786d bef1786d
7fa4eac1 7faf72b7 3aa45d01 3aa45d01
7f99a566 7ecea5e2 6937a70e 6937a70e
*7fa3f251 7f940b8e 47ebdad6 47ebdad7
7f8971d2 7f91ba25 3b7b9827 3b7b9827
8059787e 7fa32f27 be9ae422 be9ae422
80590466 7fad6acf c37fea17 c37fea17
7fad34ee 7f9ec1b3 4731986a 4731986a
805647e1 7f8ab5ae b097e3df b097e3df
7f690019 805f4c46 d62e4635 d62e4635
8054183a 7fafc4ef c1f22c0a c1f22c0a
7faead20 805a601b bca6be0a bca6be0a
7f89c96e 80513d22 d2965c42 d2965c42
7fa9ac60 7f95152b 49bdf128 49bdf128
80510211 7fa85980 bdd153b8 bdd153b8
7fa4eaaf 7f8dd1b2 4b39277c 4b39277c
7fa996a2 7f9d2e21 4607d312 4607d312
7fa1ff01 7faa98b3 3ad37f2d 3ad37f2d
8056f090 7f8ba6c5 b17fd32d b17fd32d
80520d20 7f21cca6 98e952c0 98e952c0
7fa580c2 7fa40c21 4094b306 4094b306
*7fab1fe6 7fac7152 3f423032 3f423033
7faaf5d4 7fad2d06 3ec8f377 3ec8f377
7facf884 7fa07694 4613ecd5 4613ecd5
*7fa13927 7fa8186a 3b6fcd05 3b6fcd06
*7f99cfcd 7f985df6 40796c4b 40796c4a
7fa54571 8059e06b c09dc357 c09dc357
7faca590 7f89fa62 50d262fe 50d262fe
7f5f1ab1 7f931aec 2d36445e 2d36445e
7fa2ad93 7fa73e46 3cdb8021 3cdb8021
7fa36de1 805f5323 beadc442 beadc442
7fadbcde 7fa566b6 431dc528 431dc528
7fa8e099 7fa06a72 441fa47d 441fa47d
*805ca4fc 805c4e7a 3fb9b76c 3fb9b76b
*7fafbd94 7f94c3b6 4c3a90e6 4c3a90e7
8051b531 7fa89e2d be27f12c be27f12c
7faacc4c 805e5a2c bbda1f97 bbda1f97
8054eca7 7faa89e1 bfd68f73 bfd68f73
*7fa8bc07 8056a1d7 c06642b0 c06642af
7fa3280e 7fa38855 3fb12285 3fb12285
*7fa4fc04 7fa814b5 3df1506b 3df1506c
*805e1236 7f9b7017 bd8b874e bd8b874f
7faeb68b 7f47486b 6241370f 6241370f
80514060 7fa3b28f bb82fe92 bb82fe92
7fa94ef8 805f5295 bbdc195e bbdc195e
80516a9a 7fa80583 bdd08a26 bdd08a26
7fa08cd9 8052aafa c6f8e595 c6f8e595
*805bb813 7f93b7a2 b7c57491 b7c57490
7fa1fd91 805d22ad c0bdc7d5 c0bdc7d5
8051e154 7f68a68e a4251825 a4251825
805fcda8 805d9968 3e155e36 3e155e36
*805c663a 8051cb38 3a61e120 3a61e121
7faabc15 805e478e bbee34d3 bbee34d3
7f815686 805a89bd d3130ee9 d3130ee9
7fabe0f5 7fa0bbdb 4553fe65 4553fe65
*805c54f6 7fa69d0a c2157cfa c2157cfb
*7faf0369 7fa0aa98 46e2fb65 46e2fb64
*805126c7 7faae45e bed2b0aa bed2b0ab
80516673 805d7bbe 4538704f 4538704f
7fa18169 7f14ee4d 654aa549 654aa549
7fae2656 7fa2a830 44ed9345 44ed9345
7fab4e3b 7faf8cc9 3dd8f90c 3dd8f90c
7ec7dbcb 7f999f46 159beda2 159beda2
7faff6a6 7fae4a43 40712476 40712476
7faf77d4 7fab44e0 413b5cb8 413b5cb8
7fadd70d 7faf9bc1 3f1ad820 3f1ad820
7f902908 7fadcdb3 30acf68a 30acf68a
*7fade605 7f8e315e 4fd8123e 4fd8123d
*7fa91293 7fabbd13 3e765308 3e765309
80572819 80512718 3ce2c7cc 3ce2c7cc
7fa98ac6 7f843879 521af9bb 521af9bb
7f9166e0 7fa29d59 36f52304 36f52304
7f32cc10 7f9fb711 1e681c19 1e681c19
7fa3c472 7faa55f6 3c026a2c 3c026a2c
7fa4e9a7 7fac77e1 3bc0e88c 3bc0e88c
805cf34e 7fa5b4d7 c1f55750 c1f55750
805c5369 8050b7c0 3a1013d2 3a1013d2
7fa00acd 80513d10 c7a7ecd6 c7a7ecd6
7fad2fa0 7facfe1b 400da9ff 400da9ff
7fa6f1e7 7f930614 49a622a4 49a622a4
7fadb5da 8056fcb4 be7f6171 be7f6171
7f958035 7faae57c 34ca502a 34ca502a
*7f7facea 8051b202 d7986515 d7986514
7f81a215 8051b0aa d6b11af9 d6b11af9
8058aeb6 805f289f 43138b3b 43138b3b
7fac9624 7f995308 4907cff9 4907cff9
7fa0daa1 7f3faa6d 603c5217 603c5217
7f9cb45b 7fa98957 38fe12a1 38fe12a1
*7fa02749 7f95e0a4 43d051db 43d051da
*7f88cb6b 7f403d62 544e1aee 544e1aed
805beefb 7fa48d09 c0608d88 c0608d88
7faa8b42 7fac1644 3f1efaef 3f1efaef
7faf7d59 8050be1d bff0c816 bff0c816
7fad182f 7f96d8ab 4a30183f 4a30183f
*7fa54b25 7fa7a371 3e69ae51 3e69ae52
8057829a 7fa4c87f be92be09 be92be09
7fa8618e 80549aca c1c2adb8 c1c2adb8
805034d4 7f9b5967 b6b33015 b6b33015
*7fac3e3b 8057b76d beb20f25 beb20f26
80527532 7fa49fcb bc8a6ef7 bc8a6ef7
805c5237 8052aa07 3abba61d 3abba61d
7f64c7e4 7f9120e7 3096e129 3096e129
*805a6174 7f8306c4 aee8e6c0 aee8e6c1
7fad303d 7fa6b3ad 42492c1f 42492c1f
7f8daedf 7faf7cbb 2f154f42 2f154f42
7faf441f 7fa1cd2d 460d0fa8 460d0fa8
7f80f9b4 7fac745d 298b9723 298b9723
7f9101b2 805baa25 ca9e7c29 ca9e7c29
7fabf118 8057c4f8 bec65386 bec65386
7fad7752 7edf0b6a 6cf5dba4 6cf5dba4
80543955 8055f82a 4089533a 4089533a
7f97b355 80502a6e cc168a23 cc168a23
*805dbd22 805950fb 3ce16ec5 3ce16ec4
7f0cf9b4 7fa1f676 1901f442 1901f442
805f4925 805c339d 3d81f164 3d81f164
*7fa220e4 7fad0647 39fe5405 39fe5404
7fa5849a 7fa27ad8 4150b1bc 4150b1bc
805efcbd 8054cdbf 3a02444d 3a02444d
7faeae75 80511da2 c01ae48c c01ae48c
8051d406 7fa4330f bc0cea6d bc0cea6d
7fa39879 7fa0d0c3 4152991b 4152991b
7fa900ce 7fa246f0 42f1ac05 42f1ac05
*7fa1ee66 7faad4d4 3ab15554 3ab15553
*7f89e7f9 7f861b7c 415fea2c 415fea2d
*7f974b2d 7faf7acb 33d6df2c 33d6df2b
7fa7cdce 7fad93fc 3ce06431 3ce06431
7faa4fa0 7fae47cc 3de70faf 3de70faf
*80531ada 8055f536 40e05cbf 40e05cbe
7fadc298 7faae8a8 40d902bc 40d902bc
7f789320 7fa81237 2966b815 2966b815
*7fa93075 7f8a9034 4f2c218c 4f2c218b
7fae076d 7fa6f30c 4277c854 4277c854
*80518cb5 805190a0 400107a5 400107a4
*805f0544 7faabd2b c5d7022b c5d7022a
7f906959 7f9a1962 3a0f9f5d 3a0f9f5d
7fa1b037 7f9382c4 468166ad 468166ad
7f9d3aa1 8050b57c c90dec57 c90dec57
80547e8c 7fa6d1fc be5b81dc be5b81dc
7f9b8459 7fa62ec4 39ec7c64 39ec7c64
7f24d788 7fac5f7d 1931a613 1931a613
7fa6e43f 7f8447ab 5107bd51 5107bd51
7fa33179 7f8299b0 50414861 50414861
*7fab40ae 7fa3c4d7 43074b53 43074b54
7f40bd17 7fa224ee 1f37a825 1f37a825
7fa8d6c1 7fa43762 41d44875 41d44875
*7fa6cb5a 7fa41770 41138124 41138125
8057fc38 7fa7d143 bfef07f4 bfef07f4
80563c5e 8050d2fb 3d38febf 3d38febf
805c08a4 7fad07ba c4fedf21 c4fedf21
*7fa4ea6c 7fa404de 405bbc13 405bbc14
*7fa4d8fd 7fab26ca 3c4902aa 3c4902ab
7fa4d7d8 7f386840 61e98547 61e98547
*7fa82e84 7f938487 49e965c3 49e965c2
7fa4e67f 805d0780 bf2fae31 bf2fae31
8054e90d 7f937cae b4e0ffbf b4e0ffbf
*7f9bd241 7fad1790 374d1654 374d1655
7fa3809a 8052cf9f c54f4b8c c54f4b8c
7f943e20 7fa869a8 35445820 35445820
7f991bec 7fa80830 385bca05 385bca05
7fa58138 7e748412 70f3fa76 70f3fa76
7faad7cf 7fafa049 3d948617 3d948617
*7fa87838 7f8d9717 4d3b2fad 4d3b2fac
7fa7a879 805df972 bd800ed0 bd800ed0
80577456 7fa28049 bd62e749 bd62e749
*805d99ba 7fafec8f c6c742bf c6c742c0
*7fa9e60f 80554234 c08114cc c08114cd
7f9bcc00 7f4b4c97 5a4584c8 5a4584c8
7fa7c27e 7fab7fbb 3dd30a40 3dd30a40
*805146f4 7fa1a88b ba14cbd2 ba14cbd3
7f307bad 7f9e59e7 1e63bdf7 1e63bdf7
*7fa302f0 7fa8846c 3c682b3d 3c682b3e
805aacaf 7fa449e4 bf975712 bf975712
805a2cf8 7f96dd39 b8ba46e1 b8ba46e1
7f776d78 7fad2fe8 27313d48 27313d48
8053f308 7f9c470c b820dc9e b820dc9e
7fa179cc 805e2001 c05b7aa6 c05b7aa6
7fa99222 7fa4f0a3 41c4e970 41c4e970
805b4fe8 7fad852b c4c981b7 c4c981b7
*8053142a 7facc9b5 bff6840a bff68409
805ba52b 7faca64c c4a1e554 c4a1e554
7fa1ba58 7fa6f514 3c5af9cf 3c5af9cf
7faa2b78 7fa4ce83 420fe405 420fe405
7fa3beca 8055d0a4 c3ef565a c3ef565a
*7fa174ce 7f85e489 4cc1f76b 4cc1f76c
7facc5b7 7f97408b 49e63555 49e63555
7faa47a5 7fa08481 44ba69f7 44ba69f7
7fa170e9 7f9778e6 43e38814 43e38814
7fa5fda4 7f9c6d41 4460a432 4460a432
7fa75cb9 7fa04549 437c9eab 437c9eab
7faebb22 7f919b44 4df6a5e3 4df6a5e3
7fac01bb 7fa4b8c6 42cfffc4 42cfffc4
7fa70443 7fa35e75 4181b0e2 4181b0e2
*7f83f83b 7fa5bf65 2eb12159 2eb12158
7fac2c15 7f3ff2a3 630c7e08 630c7e08
7f94f056 7fa33059 38bac52a 38bac52a
7fa45e3e 805f763a be25b7f6 be25b7f6
8058efbd 7fa08a54 bcd84f64 bcd84f64
7fa07605 7fa367e8 3d926d20 3d926d20
*7f7baace 8054d443 d7374ba6 d7374ba5
*7f6de21e 7f9954d3 2e7d9764 2e7d9765
*7fa55e98 7fa35427 40d83bf7 40d83bf8
80501252 7fa91945 bdd2b58a bdd2b58a
*7fa8286a 7f6f073a 58381198 58381197
*8055c3ae 805d8701 435ca7ff 435ca800
7fa6449a 8055d600 c261ef56 c261ef56
7f8a811a 7f9cda07 36b2c00e 36b2c00e
7f918ce7 7f98c7e9 3b54e185 3b54e185
7fabf405 7faf0b0e 3e684aae 3e684aae
7fa239f6 8052944c c6167d4e c6167d4e
7f9af024 7fab0069 37f65c00 37f65c00
7f9df082 80596c17 c5643aa4 c5643aa4
*7faa0b77 80513235 c278d16a c278d16b
805c0062 7f8e0e23 b55a8de5 b55a8de5
7fac329e 7faaf8a4 405d2117 405d2117
*7fa0ef9f 7fa63e15 3c2eccd8 3c2eccd7
*7fac2ada 7facf002 3f92fd44 3f92fd43
7fadc19f 7fa85d78 41c5282a 41c5282a
7fa7e8a6 805eb13f bcf2e3f7 bcf2e3f7
*7f9d371c 7fa41380 3ba44b04 3ba44b03
*7f974281 805c5d2d c6860ccf c6860cd0
805c70f7 7f789e6c acf21bf1 acf21bf1
*7fab0e6a 7fab0c84 40008fbe 40008fbd
*7fa79d66 7f8abeac 4e20a403 4e20a404
*7f8da6c4 80540e5d cf82daf2 cf82daf3
805a3991 7f6781b4 a72e61bf a72e61bf
7faf1ae1 8051d6f8 bfbfd99b bfbfd99b
805927c7 80568492 3e580b3b 3e580b3b
*8055e554 7fa36159 bd3973e7 bd3973e6
*7f91f95c 7f8400da 466079b2 466079b1
7fa53850 7fa22474 415b6952 415b6952
*7f970993 7fab1da5 3597ef13 3597ef12
7f861733 7fa48178 309e4a1f 309e4a1f
7eb76d6c 7faabe1d 10773f24 10773f24
7f8a97b0 805ba2a4 cd8db066 cd8db066
7fa5dbc2 805c2bb9 bf2e6b06 bf2e6b06
8050241d 7fa5f99d bc66db22 bc66db22
*7f8ba757 7f8b2823 402578e3 402578e4
80519c41 7facc597 bf8cd80f bf8cd80f
7fa2f5c2 8051c05e c5f899f6 c5f899f6
7fa2bf34 7fa9df97 3b97ce95 3b97ce95
7f9114cd 7fa45b75 356cd966 356cd966
7fa6a52e 7fab8029 3d2cd3ec 3d2cd3ec
7fa61bd3 80596462 c05a70fd c05a70fd
7fa55fc0 7faea63a 3b28710d 3b28710d
7fadbfd7 805c04ee bc16ba67 bc16ba67
*7faa2663 7fa3dbaa 4288e4e8 4288e4e9
*7fa5694f 7f9f0c43 4308b2b8 4308b2b9
7fa281e4 7fa32925 3f745605 3f745605
7fab8b0e 805ca847 bc9bbae5 bc9bbae5
*805704d0 7fa0f45e bc366f21 bc366f22
7fac2e67 7fa986b4 40d5052e 40d5052e
7f80760e 7fa7b976 2b19ffaa 2b19ffaa
7f88bbeb 7f9644a6 38e2c9ca 38e2c9ca
7f915ba7 80525d62 cea0e173 cea0e173
8054a907 805ccb57 43635f5f 43635f5f
7faa16d8 7f95b006 499fa7c2 499fa7c2
7fa7edaa 7f6c4aca 58a4d263 58a4d263
7f9a6acb 7fac96b2 36c8e1db 36c8e1db
7f9f2008 7f8d30f7 4887acda 4887acda
80576eb5 80577daf 4004e375 4004e375
805a10ea 7fa4a41a bf7fb7c4 bf7fb7c4
*7f805183 7fa9a0ee 2a300728 2a300727
7fabf058 7f97062b 49b52b1d 49b52b1d
*7f43d391 80560142 e27cb91d e27cb91c
*80502730 805532fd 418199ab 418199ac
7fafa230 7fac1788 41023270 41023270
7fa0b612 8050c0cd c77168a2 c77168a2
805218ca 7fa0dd42 b9d08ecf b9d08ecf
8055f3cb 7f575f58 a0f26928 a0f26928
7f99e992 7fa6a9dd 39259595 39259595
7f67bc81 7f9e1b26 2a8f1cc2 2a8f1cc2
8057871f 7f90df25 b4656524 b4656524
*7fa0c88e 7fa47be9 3d1c0620 3d1c0621
7faf196f 7fa34457 44e9bc8d 44e9bc8d
8053897c 8056a08e 40f96c3b 40f96c3b
7fabb3e9 7fa4520a 42e806d6 42e806d6
7fab1c77 7faacd59 40179d7d 40179d7d
7f8e597f 7faea5f2 2fd816e6 2fd816e6
80516de6 7f74a489 a6b55e51 a6b55e51
*7fa2f7fc 7fac8a5e 3aa24c67 3aa24c66
7fae17a0 7faa8e5d 4110b58c 4110b58c
*7fab3050 7faf8587 3dccf9a7 3dccf9a6
7fadd8a4 7f9c5099 486ec44d 486ec44d
7fac0fe6 7fabf046 40090e3b 40090e3b
7f916d52 7fa74035 33fe0c94 33fe0c94
7fab75ce 80568f4e bf5d636c bf5d636c
7f85a208 7fa785b3 2eb7017e 2eb7017e
80527f93 805e1f2b 45337dfe 45337dfe
*7f9d8bd0 7fadf560 37c79f3b 37c79f3c
7fa4ae79 7fa42e6a 4032c365 4032c365
7fa57b13 7fa72e0c 3ed3c223 3ed3c223
80524d95 7f8103eb aa099c05 aa099c05
7fa5236c 7fa6fc0d 3eb6fe77 3eb6fe77
7fa86f65 7f953cff 493445ee 493445ee
805e2a19 7faabcfa c553c965 c553c965
805edf7b 7f74d867 ada0fe91 ada0fe91
7fa1b907 7f8d3b7d 49b349fb 49b349fb
805dec06 7fafe322 c6edd42b c6edd42b
80520bda 7faccb80 bfad9548 bfad9548
805330a8 7fa3c4e1 bc576628 bc576628
*7f80697e 7fa11cae 2f587502 2f587501
*7fa3a803 7f8898e4 4cc938cb 4cc938cc
*805de82e 7fa6d8ab c3543206 c3543207
7fa9eb1b 7fa2028e 43834d41 43834d41
*8057f559 805d931d 4270417e 4270417d
7fa828c8 7fa5dd88 40d6dc45 40d6dc45
80546bc8 7fa213e2 bbcb9b35 bbcb9b35
7f8f8055 805c6122 cb27e338 cb27e338
7fafa700 7e46e448 73926898 73926898
*80523ea9 805412c8 408619a9 408619aa
7fa21cbf 7f943d7d 465160b6 465160b6
7fa073ea 7fa1c3bd 3ed188b7 3ed188b7
805a279b 7fa2b292 bea7378e bea7378e
*7fa5d685 7fac445a 3c5c686c 3c5c686b
7facd151 7f8929ba 5129730f 5129730f
805e0817 7f8be3b0 b5b10e76 b5b10e76
7fa8fd01 7f7de9b9 54ea51f0 54ea51f0
*80533d45 7fa5ce52 bd72d101 bd72d100
*805a290a 7fa1455c bde23bcd bde23bcc
7facc73f 7f7260b1 5923d7f2 5923d7f2
7fa523f7 7e0836c4 74578c4c 74578c4c
7fa8f45d 7faf4d02 3cc18846 3cc18846
7fa149e0 7fa5bb9b 3cba6345 3cba6345
8052730c 80566dd7 413ebc11 413ebc11
7fa5c01f 7fab6769 3cb2fd49 3cb2fd49
7f86eb5f 805709fd d14f034a d14f034a
7fa12305 7f905bc7 48617017 48617017
805d77d4 8056e323 3bcea364 3bcea364
8053954d 7fa332ac bc288cb3 bc288cb3
805ded22 7fa4b852 c20b213a c20b213a
7fab6090 7fab64f6 3ffd6e59 3ffd6e59
7fab9b75 7faef5de 3e446218 3e446218
*7f9d2f42 7f93112d 443eb932 443eb931
7fa3ae30 7f93f062 47cae1b9 47cae1b9
*7f83b62f 805f49a5 ce90730e ce90730d
7f91dc1d 7fa3852d 36a3978d 36a3978d
*7fa8d23a 7fac9c3d 3de18816 3de18815
7fad7d5e 7fadbfc6 3fdc48df 3fdc48df
*805be5e2 80566b6d 3c92caa9 3c92caaa
7fa144f4 7f82e074 4ea33626 4ea33626
805307ab 7f9d84a5 b84c0e10 b84c0e10
*7f677e3a 805eed8d d6bf2a4d d6bf2a4e
7fa52dc0 7fa3bcd8 40958612 40958612
7fa3a5a9 7fa8356b 3cfc347d 3cfc347d
80527f2a 7fa61d8d bd53d982 bd53d982
7f8c8bdd 7fa7acea 31a55901 31a55901
*7f93b4c9 7faac8a0 33c5a55c 33c5a55b
805fe255 7f98b31f bd8f781c bd8f781c
7fa0e62e 7fa7054c 3bbecfb5 3bbecfb5
8054067a 7faac093 bfa26a62 bfa26a62
7fa1cdec 7fa3e8cd 3e4eef43 3e4eef43
80571a21 7f6b419b a6ca60c4 a6ca60c4
7f95dc32 805b209b c79f1b77 c79f1b77
7fad74ac 7facbc05 403368ca 403368ca
7faf0968 80548ece bef3bcf6 bef3bcf6
7faf701f 7f93ef02 4c9df7d0 4c9df7d0
/positDiv_tvo64.txt
0,0 → 1,3612
4d00000000000000 4d00000000000000 4000000000000000 4000000000000000
7bacdbba90000000 7a00073ca8000000 4d668fa97ac7491b 4d668fa97ac7491b
*7ba7d0c658000000 7b53420c62000000 425adaf49b2b539d 425adaf49b2b539e
7b94bf4cba000000 7b0a3e8b12000000 444dcc17fdf6f071 444dcc17fdf6f071
7b7b7e62d8000000 7b03360e94000000 43aab5eedcc5bdcc 43aab5eedcc5bdcc
*7b2a405a3e000000 7ba58ff7c4000000 3c1d005afac662a1 3c1d005afac662a0
7bab3c0d7b000000 7b53bef3b2000000 42782cf9cd770ad4 42782cf9cd770ad4
7b555e7a9a000000 7ba8fb3ee7000000 3d0cfb58c9b0ad6c 3d0cfb58c9b0ad6c
7bab7a8123000000 7aff3da9b0000000 455fe887348be7ed 455fe887348be7ed
*7b1d166d56000000 7b2d6d1d3c000000 3f3f0e6a1cb80b1e 3f3f0e6a1cb80b1f
7aa6bb9114000000 7ae4e9cfe0000000 3dd3b18c13e31f07 3dd3b18c13e31f07
7b7f639b0a000000 7b2a52cad0000000 41ff6c34d31342cd 41ff6c34d31342cd
7b7213bcca000000 7b1c7a0860000000 42302d99991f638a 42302d99991f638a
7aaf7fd018000000 7a8ae30aa8000000 410df091105e8285 410df091105e8285
796eef0500000000 7aae47062c000000 357be66003efe844 357be66003efe844
7b6fc45026000000 7702ad1a00000000 5f6a4a577865f4f5 5f6a4a577865f4f5
7b739d8b76000000 7a8f087064000000 46d016b59690f83d 46d016b59690f83d
7ba33a1938000000 7b81463de4000000 410cf161d0c9aaa5 410cf161d0c9aaa5
7b1ea7bb4a000000 7b9b1c414b000000 3c1766ef153cce4f 3c1766ef153cce4f
7863ca3880000000 7b124546c0000000 2a3ab1b1c5380ba4 2a3ab1b1c5380ba4
7afb797590000000 7a9406b6a4000000 42cb9f8269ae0c87 42cb9f8269ae0c87
7b14d1c288000000 79e323b090000000 493dd407acffc75c 493dd407acffc75c
7b003bdde8000000 7b1860eb6c000000 3ebb7d5d1e2748ef 3ebb7d5d1e2748ef
7b2ea9bcd4000000 7b7df898b2000000 3d8077916503b87c 3d8077916503b87c
7b626446be000000 7a86f6feb8000000 46b5aba9e8bfc426 46b5aba9e8bfc426
79d2e87620000000 7bb1501254000000 30c204287e65a94d 30c204287e65a94d
7b5bdc6ac8000000 7b4906cb98000000 405ff0a40e7b2538 405ff0a40e7b2538
7bb04c5ead000000 7b3e40b188000000 4369c942099f0362 4369c942099f0362
*7b8641257b000000 7af5eb983c000000 445e0edee6692d59 445e0edee6692d5a
7b90706b18000000 7b685eb5be000000 40f904d5357dd278 40f904d5357dd278
7ba1fe4bdc000000 7b0179938a000000 45012f1d5a29ef4c 45012f1d5a29ef4c
*7b269f6746000000 7ba83f7157000000 3bec37c0c598e406 3bec37c0c598e407
7b7c6f5fa8000000 7b47803d76000000 410fb35dbd4d9e12 410fb35dbd4d9e12
7a637661b0000000 7a80d7eec0000000 3f0fc95d5326c24d 3f0fc95d5326c24d
7a91f3a200000000 7b5f7cffb4000000 3939788bb2e987f9 3939788bb2e987f9
*7b10eada0e000000 7ba2b49855000000 3b20194aa2865754 3b20194aa2865753
7b26fd3d2a000000 7b49c8727c000000 3e9edc22dfe014d3 3e9edc22dfe014d3
7b05d5280a000000 7b6ff70e2c000000 3c76346feb779f08 3c76346feb779f08
7b29457230000000 7b8b60010d000000 3cdba67bca2ca7ed 3cdba67bca2ca7ed
7b9ab01f6b000000 7aa0855eb4000000 47b594782efe8008 47b594782efe8008
7b60887496000000 7b3b3566e0000000 40cc288eedab9d0a 40cc288eedab9d0a
7a80737b0c000000 7b09cde6c6000000 3b74fef1af813078 3b74fef1af813078
7b51f568fa000000 7aeb33f8b8000000 43243013a84e4b6a 43243013a84e4b6a
7b5a109620000000 7b327ddd90000000 40e307915a4de7e6 40e307915a4de7e6
7b9867b19f000000 7b8d2529d4000000 4051b0973e1c40db 4051b0973e1c40db
7a712f1158000000 7831330e00000000 5171c0cfe6058131 5171c0cfe6058131
7b0fe45004000000 7b8b2e2d3c000000 3c22a9f979ddc3b3 3c22a9f979ddc3b3
7b3542a9ca000000 7b40b3b342000000 3f8666bf679059be 3f8666bf679059be
7b98535710000000 7b718e6e20000000 410b783bc002f6d8 410b783bc002f6d8
7b43787f7c000000 7b408afe24000000 400f9216e35d93aa 400f9216e35d93aa
78fd591300000000 7bbc5d94a3000000 296142819d53d5df 296142819d53d5df
7b013eb168000000 7a6245dae0000000 4491cc476755a27c 4491cc476755a27c
7ad4932ecc000000 7ba25b9872000000 393cb8eeb7c80711 393cb8eeb7c80711
7b791c74de000000 7bb91a3000000000 3d621a16ba88b578 3d621a16ba88b578
7b3d725a2a000000 7bbd2d9323000000 3c017448ea9d1c08 3c017448ea9d1c08
7b2ac5d6b8000000 7a1eefc640000000 484c42289816cda9 484c42289816cda9
7b3f12572a000000 7b23e24b96000000 40a9e09cd7794688 40a9e09cd7794688
7b19f05190000000 7bbe4258aa000000 3a790991b03362f2 3a790991b03362f2
79f1eb8ee0000000 7ba25b1425000000 31f5d2c656204752 31f5d2c656204752
7b2440a2e4000000 7b5d4fc906000000 3deffaa3958cc8bf 3deffaa3958cc8bf
*7b689873e0000000 7b9f187a3b000000 3dd912c92a1e5b52 3dd912c92a1e5b53
7ab3a8f4b4000000 7b836af2df000000 3977e693054e1c7b 3977e693054e1c7b
7b02e71cc0000000 7b7e151d40000000 3c1f20200f113c56 3c1f20200f113c56
7b6425aec4000000 7ad6ff2140000000 443ea270983885e5 443ea270983885e5
7b9e2308db000000 7b9efd1490000000 3ff5074283087642 3ff5074283087642
7ae4aaecbc000000 7b3f2d2e76000000 3cc8d075f4440fc8 3cc8d075f4440fc8
7b9e07a330000000 7bab3d9292000000 3f6200c76d80572e 3f6200c76d80572e
7a2ab2fc58000000 7bbc2aac0b000000 3341e25066062779 3341e25066062779
*7b14754e44000000 7b1d023576000000 3f90783bfcd103df 3f90783bfcd103e0
7b9bc14346000000 7b508494a4000000 41f9c7304eac2fbd 41f9c7304eac2fbd
7b737d5a9a000000 7ac45e8b44000000 44f5b78061e6a9db 44f5b78061e6a9db
7ba46cf65d000000 7b07f2a8de000000 44d680bb59e281c1 44d680bb59e281c1
7b7f317b18000000 7b005c7346000000 43f3cd73b0ee8853 43f3cd73b0ee8853
7bac3b9ec1000000 7b72aed5b2000000 41ad78ed8bf6a087 41ad78ed8bf6a087
7b628bb8e8000000 7b21512a3c000000 419e0e3c388d5746 419e0e3c388d5746
7af542fd20000000 7b61bd3402000000 3c588f022894767b 3c588f022894767b
7b90d6cec1000000 7b5318bc12000000 417d302846702585 417d302846702585
7b546c09b4000000 78872acd40000000 56494470876d26e6 56494470876d26e6
7ae8d3cc9c000000 7ba786c423000000 398f2655dcf2c441 398f2655dcf2c441
7b65f68a6c000000 7b161783d0000000 4220eb9ac903eef8 4220eb9ac903eef8
7a08991160000000 7b3a629422000000 35dcf04585b128d1 35dcf04585b128d1
7b1a50da3c000000 7b16902aa8000000 401985c08da579b7 401985c08da579b7
7b84b80e34000000 7b004764a8000000 44237187879be3b4 44237187879be3b4
7a329eb9e0000000 7a2e598300000000 401914ba0e494e47 401914ba0e494e47
7a6aa7e328000000 7b46f888b4000000 38b7a6f082d42e56 38b7a6f082d42e56
7b42268b68000000 7a88342a64000000 45b3a70b47c45dfd 45b3a70b47c45dfd
7ba39ff6af000000 7b6ece39e2000000 417b3fc6d942415c 417b3fc6d942415c
7bb7d83afa000000 7b01e9e628000000 45a917adbed94c9f 45a917adbed94c9f
7b35c6c296000000 7a475c0570000000 474b5efd483a94df 474b5efd483a94df
7b4603fb5c000000 7b7d06aff4000000 3e42be37797a5d4f 3e42be37797a5d4f
7a0294ecf8000000 7b9ada38a8000000 32bf0216dff0ea23 32bf0216dff0ea23
7bbf784b0f000000 7b566bfdd6000000 4324c7a4babc2071 4324c7a4babc2071
7acf16e558000000 7ba27a48bd000000 39192950594df8bd 39192950594df8bd
7b5372adca000000 7b0830adec000000 4235db1a4471c062 4235db1a4471c062
7bbd548165000000 7aa4c687d0000000 4898984a4833e622 4898984a4833e622
7baf4e55e1000000 7b62347576000000 42332cae1d06b8c4 42332cae1d06b8c4
7b1757d562000000 7a483d6630000000 460be5a8469d861d 460be5a8469d861d
7ad4ca46c4000000 799006c160000000 49e8e5a8ad74b3fc 49e8e5a8ad74b3fc
7b04729d76000000 7b4901cf24000000 3d457835e133c592 3d457835e133c592
7b74354da4000000 79de5396c0000000 4c64c89744ff7bb8 4c64c89744ff7bb8
7b7b1128b2000000 7abd34e20c000000 454ecb195a8f2c67 454ecb195a8f2c67
7b96e5a583000000 7bbfc1e314000000 3e4b9aeceab2199d 3e4b9aeceab2199d
7b93ce2016000000 7b91004c55000000 4013cd4de10f61ae 4013cd4de10f61ae
7b1b4e3900000000 7b9156b46f000000 3c46390118e5c733 3c46390118e5c733
7b009b09b0000000 7b49198406000000 3d1db85f9629aed2 3d1db85f9629aed2
7b22312f06000000 7b283749dc000000 3fb6a924c5eead39 3fb6a924c5eead39
7b6b1a1cb0000000 7a75fda018000000 47a558829482f1ec 47a558829482f1ec
7b4ae4b2ba000000 7bb5da9331000000 3c7678b3f5c4fb50 3c7678b3f5c4fb50
7b834c7607000000 7ada2bc380000000 44d084ae9c96b9a9 44d084ae9c96b9a9
799f6381f0000000 7b71b308be000000 31468ddc159471dc 31468ddc159471dc
*7b2a9549c8000000 7b5ed122fa000000 3e1fe5f8414d6ae1 3e1fe5f8414d6ae2
7abad05e40000000 7b312e35d6000000 3c37acf43dda0591 3c37acf43dda0591
7a97ce0974000000 7bb8aa4fb0000000 3693910cdc2b463b 3693910cdc2b463b
7b22e13e94000000 7b767c4e82000000 3d49562da2c10a21 3d49562da2c10a21
7ba812e12d000000 7b8024b6db000000 413f15cc99869bca 413f15cc99869bca
7b27aa8458000000 7b1c0cc714000000 404c39afb9612864 404c39afb9612864
7bb8cf068b000000 7ba545e177000000 40790a2532e0c739 40790a2532e0c739
7b12891598000000 7b41c3cf70000000 3e0ccef18f5628d6 3e0ccef18f5628d6
7ba56a9852000000 7a68ce67c0000000 49af2b95ca8a0021 49af2b95ca8a0021
7abba12d08000000 7b3c733dba000000 3bf71518a95c3585 3bf71518a95c3585
7b04bf5cde000000 7b7059ac1c000000 3c6b20bbd662dcd9 3c6b20bbd662dcd9
7bbf1b8695000000 7b4f948d82000000 435d7a8c77beab44 435d7a8c77beab44
7b9546a307000000 7b5c07a3ae000000 416d6f47ebfb7b54 416d6f47ebfb7b54
*7b0c07cb3c000000 7b2f4ca2ec000000 3e63f5302f6125ad 3e63f5302f6125ae
*7b4c614fcc000000 7923ed8660000000 50fcb0fd2ac071e6 50fcb0fd2ac071e7
7bbf709838000000 7b76ee95da000000 4233c29b7e04ceda 4233c29b7e04ceda
7b66467c16000000 792e8fbac0000000 5146d32a9fd8d099 5146d32a9fd8d099
7b263b38c6000000 7b32a7cbe2000000 3f7193c81fa2d096 3f7193c81fa2d096
7b8a93ea0a000000 7a1471a4d8000000 4b77e1e1bd681dd0 4b77e1e1bd681dd0
7b299661bc000000 7b7b6e502a000000 3d655a954f75a24c 3d655a954f75a24c
7b9802b272000000 7a9fa33504000000 479e271fdcce693d 479e271fdcce693d
7b2e161c0c000000 7ba2969c7c000000 3c486a7dc47c4985 3c486a7dc47c4985
7bba7701dd000000 7babd63ee4000000 40572b8e521b1a81 40572b8e521b1a81
7ba04dc491000000 7b24f3689e000000 43c64da188f5c9ec 43c64da188f5c9ec
*7b277e184a000000 7b6eb1a52e000000 3d9d1726c5f64b75 3d9d1726c5f64b74
7b6a20a196000000 79e360e020000000 4c1e64f525240849 4c1e64f525240849
7ba32f117b000000 7b955e155c000000 405eb80f7526c69c 405eb80f7526c69c
7b415f84a2000000 7b6ddc3b40000000 3e80f613b4827fcf 3e80f613b4827fcf
7b8cb6e7f3000000 7b2b802638000000 42905cf2e84d0a46 42905cf2e84d0a46
7b85242ba3000000 7bafe5f96e000000 3e0e2d07ee77662a 3e0e2d07ee77662a
7b563c50f0000000 7b4ba7dbe8000000 403532608e221507 403532608e221507
*7a9b505c38000000 7b6faa20d6000000 392f3347311ea716 392f3347311ea717
*7bbb940111000000 7aafe10ac4000000 48441d3717d370b7 48441d3717d370b8
7a9eec2764000000 7a9ac8a624000000 401b61586700db9b 401b61586700db9b
7b91cc30a6000000 7b1d1e41d0000000 436c705a6cdf615c 436c705a6cdf615c
7b9e8739f5000000 7b1ac0636e000000 4418fd9fe42cf9e4 4418fd9fe42cf9e4
7b750ec732000000 7b0c84879c000000 42f9d18ff8b8eb70 42f9d18ff8b8eb70
7b6824b680000000 79c53943f0000000 4cb54e45cab8cf18 4cb54e45cab8cf18
7a268b25b0000000 7a14cca9a8000000 407a1c3895026558 407a1c3895026558
7b33678bec000000 7b8f58c850000000 3d0194190785836a 3d0194190785836a
7b31b7b208000000 7b1b8c426e000000 4091f26cefd6071f 4091f26cefd6071f
7a5d62e478000000 7b5b5a7b74000000 38097d69ab02aaaf 38097d69ab02aaaf
7b40bf6cd8000000 7b6ac0450c000000 3e918eba29aa89ff 3e918eba29aa89ff
7b7a0c0c14000000 7ae4ee3dc0000000 445e740300d04d5c 445e740300d04d5c
7b064c877a000000 7b98b2629a000000 3b093e7a45e6bebb 3b093e7a45e6bebb
7ba6e8cd2b000000 7a8e17e8a4000000 48b2d64c53977f87 48b2d64c53977f87
7b8e128520000000 7a8fbb4cd4000000 47e85b674cf43b71 47e85b674cf43b71
7b39831334000000 7b227191ba000000 40916aaf774184d0 40916aaf774184d0
7af284d73c000000 7b83aa56c5000000 3b5e23dc7d3ed9ec 3b5e23dc7d3ed9ec
7b697d860c000000 7b156775de000000 424051554cdb2a7a 424051554cdb2a7a
7a9e64a7e8000000 7b23009940000000 3bc616eb73dfe1fa 3bc616eb73dfe1fa
7a5f641e60000000 7b4874bf94000000 387529064c8caae3 387529064c8caae3
7b2a5546ca000000 7b90ab46d3000000 3cb5a7eefbf37477 3cb5a7eefbf37477
7abbb86ae4000000 7b79879ac0000000 3a04b425d0df17ff 3a04b425d0df17ff
7b445726e6000000 7b15b53d0c000000 413ef721b34264f6 413ef721b34264f6
7b41fc5a54000000 7bb75636d9000000 3c3b7a6ffa990db7 3c3b7a6ffa990db7
7b370bcab2000000 79fec47e30000000 49bf73d339d3b340 49bf73d339d3b340
7bb41a0439000000 7af6c5e330000000 45d6af9a367e54dd 45d6af9a367e54dd
7b358dccd2000000 7b95183163000000 3cdeef702846b855 3cdeef702846b855
7b6a0f5f4a000000 7ad9332f08000000 444f7ce327533d76 444f7ce327533d76
7a9411e518000000 7aa486cf78000000 3f332652a9c84fca 3f332652a9c84fca
7b67fc891e000000 7b7f96bf60000000 3f42e08c4b7a5fbf 3f42e08c4b7a5fbf
7af8e79504000000 7b520be868000000 3cbd700339c59831 3cbd700339c59831
7ad860adc8000000 7b467cbd10000000 3c5c4c0272fa80ce 3c5c4c0272fa80ce
7b9227a813000000 7aa859c004000000 46f1fcd26fe99f53 46f1fcd26fe99f53
7b46137c70000000 7b20c31aa8000000 40edad6ba576c1c0 40edad6ba576c1c0
7b931c6436000000 7a490f4d50000000 49da79b625809cfe 49da79b625809cfe
7ace7faf70000000 7ba5440c49000000 38ff7b8f8f15c462 38ff7b8f8f15c462
7b0670b358000000 7a354d50a0000000 45eea56b152310dc 45eea56b152310dc
*7b6b4b333a000000 7b2ca97bc4000000 417372886a74d24a 417372886a74d249
7bafcb93bf000000 7ba945857a000000 402776eb7918c537 402776eb7918c537
7b77319456000000 7b6607dad6000000 404c67044f5917cf 404c67044f5917cf
7affbcbe38000000 7b627cc790000000 3c843ebf97d2f393 3c843ebf97d2f393
7b12b1569a000000 7b5f75869a000000 3d406fec390b6cd9 3d406fec390b6cd9
7a541f4a10000000 7b09e2eaf0000000 3a274e20da21ed0f 3a274e20da21ed0f
7b457d6170000000 7b34e631a2000000 405de9ac308efef6 405de9ac308efef6
7ad8bf6500000000 7ab05d7014000000 40ea77a24abdd0f8 40ea77a24abdd0f8
7b145bb83c000000 7b21678506000000 3f5a768597ef997e 3f5a768597ef997e
7b6211a2fa000000 7bacec8cd7000000 3d3ab4e92083dd91 3d3ab4e92083dd91
7bab26db54000000 7ba738aabe000000 40181186112086e9 40181186112086e9
7b3207d1b8000000 7b6aa96ee4000000 3e11c0c56c56631b 3e11c0c56c56631b
7b6fd8da82000000 7b141974b4000000 427a5e4a114ce396 427a5e4a114ce396
7a89051124000000 7ba3134895000000 36b8c753f21e7a29 36b8c753f21e7a29
7b628aca30000000 7b768a5a4c000000 3f59e0073d0a7342 3f59e0073d0a7342
7a43400038000000 7b5263a4b2000000 376ca12f221cb251 376ca12f221cb251
7b0aa3cf00000000 7bbaa94451000000 39f11f3feba492a2 39f11f3feba492a2
7b8c451aee000000 7bb6974c98000000 3e25502acbadcb69 3e25502acbadcb69
7bb5ee7177000000 7a92b46bd4000000 48f5e1d63fb9341c 48f5e1d63fb9341c
7b9fc91ef1000000 7b7680b1ee000000 412f88f1d164ba53 412f88f1d164ba53
7b3eacbe8c000000 7bac0e39df000000 3c6ed03ee7af8301 3c6ed03ee7af8301
7bb1d7a58f000000 7a2a2685e8000000 4c2e4a959237b68a 4c2e4a959237b68a
7b2176a9a8000000 7b23418900000000 3fe983936fbf2d02 3fe983936fbf2d02
7a04294da0000000 7bb011b41b000000 320145fcd59f80c8 320145fcd59f80c8
7b7b5dfcbe000000 7b04b99e86000000 439358c7931d2bf1 439358c7931d2bf1
7b0aad09b0000000 7b1cd4a666000000 3f12ec5d004a4d7f 3f12ec5d004a4d7f
7a1fec9e18000000 7b2bb75d1a000000 37735c77be450214 37735c77be450214
7b7cf80e9c000000 7a0d649110000000 4b280f7a1b4ad209 4b280f7a1b4ad209
7a41c3ee80000000 7b07a5184e000000 39b6c229aeed644d 39b6c229aeed644d
7b402dcaac000000 7bac361194000000 3c76bb4290327606 3c76bb4290327606
7b99ae3ab4000000 7b3d25d948000000 427ffa56de79a317 427ffa56de79a317
7af78a8ed8000000 7ac79ae210000000 40f5eb4f007a8c1e 40f5eb4f007a8c1e
7a8995e32c000000 7a6fcc4860000000 40970d50eefc92ec 40970d50eefc92ec
7b3c399430000000 7af04ecdfc000000 424420e2f07c6689 424420e2f07c6689
7b7918eb62000000 7b9bff6dcf000000 3e631fb69f46ed9c 3e631fb69f46ed9c
7b5bf4d808000000 7a778f73e0000000 471ba3dac6ff2917 471ba3dac6ff2917
7ae7e3db6c000000 7b182e6c38000000 3e185862065b3bc2 3e185862065b3bc2
7b360b43f8000000 7ada15b230000000 42ad8c0645f88924 42ad8c0645f88924
7b502d47d6000000 7b12001c00000000 41b415ea56f9a22b 41b415ea56f9a22b
7b2aeeb612000000 7ba20f9ac7000000 3c380e3cc1440be4 3c380e3cc1440be4
7a28ba20e0000000 7bb07cfd05000000 33a5f0223e54c3e3 33a5f0223e54c3e3
7b312a0ea6000000 7b7a5bd010000000 3da94032af5a98bb 3da94032af5a98bb
7b72fa160a000000 7ab8318970000000 4546cc7767ffa306 4546cc7767ffa306
7b9f3d82cf000000 79e944f210000000 4d760ee75a2e99b9 4d760ee75a2e99b9
7b3d12bec2000000 7b6fa51444000000 3e4fd0e3a17cf16c 3e4fd0e3a17cf16c
79bc237290000000 7b300cd4cc000000 34464fe9fe49a230 34464fe9fe49a230
7ba5deec7f000000 7aabfee7e0000000 47b7119c862bc1f5 47b7119c862bc1f5
*7b4d357310000000 790d097c00000000 51d1eb4ccbf0ce86 51d1eb4ccbf0ce87
*7ba2d2441b000000 7b64b9e162000000 41b1e48ec21515ee 41b1e48ec21515ef
7b38dd6c8e000000 7b11626134000000 411613f93ebc011d 411613f93ebc011d
7b9d5caaaa000000 7b0612df9e000000 44b1ddb9c0fda8e5 44b1ddb9c0fda8e5
7b1a32f8f4000000 7b005ddd8a000000 40ce11bdc35351a2 40ce11bdc35351a2
7ad5998200000000 7aa620cb04000000 41249c56e5281ba1 41249c56e5281ba1
7b88e01f5f000000 7b25861654000000 429d89fe7e0aaaca 429d89fe7e0aaaca
7b59234520000000 7b2bf337b2000000 410d1a43bb11649d 410d1a43bb11649d
7a178fcf78000000 7ba1f80311000000 337c68bdbcbec430 337c68bdbcbec430
7b7f039d36000000 7a5e90ca70000000 48954ac9c43de900 48954ac9c43de900
*7b69761c76000000 7b5826e256000000 4052008963106381 4052008963106382
7b63976a50000000 7b87ad082b000000 3eb5b9286ccb1f5f 3eb5b9286ccb1f5f
79e0b743c0000000 7b629b0786000000 33eeebdd75217d48 33eeebdd75217d48
7a8dfc85e4000000 7b82c68278000000 3857c8d3ee2f4d09 3857c8d3ee2f4d09
7b3ac1e9dc000000 7a2a56b508000000 4862b3cb77a1a246 4862b3cb77a1a246
7b8a738aa3000000 7b56d8d256000000 4127c4d5b93c20eb 4127c4d5b93c20eb
79530b4c80000000 7ab7cab070000000 3497d6576512bac0 3497d6576512bac0
*7b5c65d746000000 7b47f29ea6000000 4068bb56d6087d84 4068bb56d6087d83
7b067b057c000000 7b714cec8c000000 3c7561f1492bd94e 3c7561f1492bd94e
7b1ecb70fc000000 7b13abba44000000 404d2305d83980c3 404d2305d83980c3
*7a9a648988000000 7bbd98a421000000 3683bc24fca6a888 3683bc24fca6a889
7ab73cb1d4000000 7b53ad4a40000000 3aecd759a9cb393a 3aecd759a9cb393a
7bb63f1a67000000 7b7a69c9e6000000 41d27fe49d6259cc 41d27fe49d6259cc
7bb3ac8243000000 7b39b07992000000 43bda772bd506ba6 43bda772bd506ba6
7aeb362450000000 7b42f75d70000000 3cd36025b7b0d358 3cd36025b7b0d358
7b0d5dc3d4000000 7b621946f6000000 3d007e82a35027a0 3d007e82a35027a0
*7b3d612758000000 7b74b6c85a000000 3e30e883366c1ffb 3e30e883366c1ffc
7b1bc9c08a000000 7a4bf0e600000000 461c71529fd001c8 461c71529fd001c8
7b37ae25ca000000 7b9493c22f000000 3cf1ee8f6f74c3ba 3cf1ee8f6f74c3ba
7b8a8ac03c000000 7aea1e9a44000000 44bbeb5be3435cf9 44bbeb5be3435cf9
7b8eef265c000000 7b30df4102000000 42770888f8217865 42770888f8217865
7b280b86a4000000 7b558c7282000000 3e4b9b18918d7633 3e4b9b18918d7633
*7b5a06c560000000 7b3d21071c000000 409c758da1bf8d67 409c758da1bf8d66
7ba54ddfd3000000 7b77e51218000000 4155ac07723a3722 4155ac07723a3722
7bb700240f000000 7b72a7464a000000 4208874e6a30d842 4208874e6a30d842
7b4d71849a000000 7ba40386bf000000 3d02a93717186af6 3d02a93717186af6
7b2fc84d66000000 79c06a2200000000 4b4ef8ff76de7821 4b4ef8ff76de7821
7b9ff60fcb000000 7b8ee44ac2000000 407a52eb64646e3e 407a52eb64646e3e
792b48a8a0000000 7955da4300000000 3e6855078e326600 3e6855078e326600
7bb2e7d181000000 7b3a7cd7f0000000 43acbbbdb39dd3c3 43acbbbdb39dd3c3
7b92b57439000000 7b25bbc298000000 4314e8b07fb9da39 4314e8b07fb9da39
7b58456d74000000 7a407dcbf0000000 487e807b6705da58 487e807b6705da58
7b331966c8000000 7a785441d8000000 45c50d7ddadaaef4 45c50d7ddadaaef4
*7b6a9c4aee000000 7b58844f3a000000 4055929d4fb57f06 4055929d4fb57f07
7b63d0d30e000000 7b42e1b862000000 40ad0cfa3ff951ef 40ad0cfa3ff951ef
7b8451e11c000000 7b16ea39d8000000 4303a770cc003c0f 4303a770cc003c0f
*7b807b646d000000 7b73400d26000000 4039bafbe491b5ce 4039bafbe491b5cf
7adedd1224000000 7b64c79be8000000 3bcb0a17e5663ed8 3bcb0a17e5663ed8
*7b3621c588000000 7af0249694000000 4211447443cb7c35 4211447443cb7c36
7af763ab80000000 7b37188e62000000 3d6792d5a2194fa4 3d6792d5a2194fa4
7ad6a4b0e0000000 7b6b205ed4000000 3b4d96777416e67f 3b4d96777416e67f
7b1be02a4c000000 7b90ba0efd000000 3c4ee1f29454bbb6 3c4ee1f29454bbb6
7ac665543c000000 79bc900060000000 4835667f98c90431 4835667f98c90431
7b588cac5c000000 7b4e850290000000 4031bb6b4561caf3 4031bb6b4561caf3
782c97b800000000 7ae8d9ad50000000 29ee034a7f2bf356 29ee034a7f2bf356
7b6ff9208a000000 7bb2f28480000000 3d5d361a45fc0962 3d5d361a45fc0962
*7b0bfed6b6000000 7b4885cce6000000 3d95d1287936db93 3d95d1287936db94
7b9a3505c8000000 7b0eb13596000000 4452a258c3c85a05 4452a258c3c85a05
7b9e7951c8000000 7b9231960d000000 405603fc0a9df509 405603fc0a9df509
7b74963e96000000 7b48f17a46000000 40de680910bb7ec5 40de680910bb7ec5
7b6ce8f900000000 7a24012898000000 49c733d18c304d40 49c733d18c304d40
7aaf455fb8000000 7a276e7240000000 442ff23de9d426af 442ff23de9d426af
7a9ac6ec80000000 7ba61c773a000000 377442a05b381d80 377442a05b381d80
7b72cd16d6000000 7b9d2637a1000000 3e2e1da00b365495 3e2e1da00b365495
7aea727134000000 7ba537e35a000000 39ad11e9827d1194 39ad11e9827d1194
7ac85017a4000000 7b429caedc000000 3c1dff0c2982fa16 3c1dff0c2982fa16
7b16a8b528000000 7bab7a9155000000 3b075895050ba4d9 3b075895050ba4d9
7b7ce91e1e000000 793e1edc20000000 515230dc387eadea 515230dc387eadea
7b2164e95e000000 7ac22253e0000000 42a69d02f6a6217c 42a69d02f6a6217c
7b4e7e9c9e000000 7b9c06cf43000000 3d4b3872c4e3ca89 3d4b3872c4e3ca89
7b38064c9a000000 7b4b67babc000000 3f3cdd7a884d8930 3f3cdd7a884d8930
7a87df1890000000 7ab2d66bf0000000 3e13f6acf27e325c 3e13f6acf27e325c
7b963c7257000000 7b89371474000000 40612c06368db9e1 40612c06368db9e1
7b1178d47e000000 7b647f3148000000 3d17dac078120b27 3d17dac078120b27
7b5dd5e3a4000000 7b556860c8000000 402870718a5a95c5 402870718a5a95c5
7b9ef533a1000000 7924ec8520000000 53b5e9fe3281c221 53b5e9fe3281c221
7b67d689b0000000 7b4f633bc0000000 4078ba06f7344874 4078ba06f7344874
*7b6ecdf99c000000 7b45ac6d54000000 40d511c710986079 40d511c710986078
7b24cb9c88000000 7b965c306f000000 3c624efefac241e7 3c624efefac241e7
7b4ffb35a8000000 7b0ca22638000000 41ea62132850ce10 41ea62132850ce10
7b7c1b9c9e000000 7b3ec92f44000000 414921c0db8f247a 414921c0db8f247a
7ba92749c7000000 7b20e73928000000 443481567cbb2c83 443481567cbb2c83
7b6b819022000000 7b9124e49b000000 3e7d824e8ed77b6f 3e7d824e8ed77b6f
7a36257d80000000 7b679d2cc0000000 364a97e90e6cc9b5 364a97e90e6cc9b5
7b6112f87a000000 7affafe5dc000000 430acbdb9ad95c89 430acbdb9ad95c89
7b088465e8000000 7b4c94889c000000 3d56a372cb4da717 3d56a372cb4da717
7b2221bc24000000 7b360dc54a000000 3f1fe38b364f3f37 3f1fe38b364f3f37
7b3431b55c000000 7b0334b49e000000 417e549c671eff59 417e549c671eff59
7a5796e0e0000000 7b9e5b0da3000000 3572196190d1abbc 3572196190d1abbc
7a9f927a74000000 7b5807745e000000 39e8c6d8584edce8 39e8c6d8584edce8
7b72c3e090000000 7b81fd794c000000 3f786335bf02b8b4 3f786335bf02b8b4
7ab67851f8000000 7a22a66320000000 447cc81b575e6f80 447cc81b575e6f80
7ba05886ef000000 7b2b056638000000 4380297f2e5459ef 4380297f2e5459ef
76621a4c00000000 7b99ba7737000000 1e4dada7b29b8e19 1e4dada7b29b8e19
7b2f0058d0000000 7badf69b12000000 3c061c3b6537eaff 3c061c3b6537eaff
*7ab83d0e6c000000 7b6fb166ae000000 3a262eaf5920f7fa 3a262eaf5920f7fb
7b83794e2e000000 7bae67ca2f000000 3e07dd72cbf6ba6e 3e07dd72cbf6ba6e
7b91932a8e000000 7b35f2f52c000000 4266936ca211aa77 4266936ca211aa77
7b38bcca82000000 7afe89b8a4000000 41ce6377b4a3d97e 41ce6377b4a3d97e
7b25a45bda000000 7b3faf66de000000 3ee9c011f0973893 3ee9c011f0973893
*7b5c1470b0000000 7b48cab0f6000000 40625d95d8313b8f 40625d95d8313b8e
7bbad12e05000000 7b14d13b32000000 4505793c4bb33d4f 4505793c4bb33d4f
7a901f1a90000000 7b8da35bf2000000 3811f43cd71893c0 3811f43cd71893c0
7aa9014420000000 7b9211fefd000000 38a0c78045836be5 38a0c78045836be5
7b641168da000000 7b4a22fe1a000000 40835d6ab63d6090 40835d6ab63d6090
7b38f5392c000000 7a3083d888000000 4830fa989508887a 4830fa989508887a
7b6569b5fe000000 7b86baed9f000000 3ecf9fd89df36d99 3ecf9fd89df36d99
7aa089e838000000 7b497b1ed8000000 3a5fd54316d12cda 3a5fd54316d12cda
7b5798d958000000 7b849d16a5000000 3e80c585c7b99ca9 3e80c585c7b99ca9
7b759c14fc000000 7b3d028f58000000 4132a3ec78caa77e 4132a3ec78caa77e
7b8b580444000000 7a8bc21230000000 47f9ede3f7fef43c 47f9ede3f7fef43c
7b067dae30000000 7b6e48e1e0000000 3c83eadf3ec46f0e 3c83eadf3ec46f0e
7a9e366cc4000000 7af8338658000000 3d19786957fac6ff 3d19786957fac6ff
*7b2b4b7138000000 7acb967dd4000000 42bb254b97fb25df 42bb254b97fb25e0
7b41facc46000000 7b98e48fb5000000 3d132de9efe7122e 3d132de9efe7122e
7baa0a1066000000 7988b01540000000 50f9da7b922e9301 50f9da7b922e9301
7b2de2e296000000 7b7f5f9204000000 3d7280fc6b6591af 3d7280fc6b6591af
7bbb70a0ca000000 7adfbb5db8000000 46b3ca51f4fd887d 46b3ca51f4fd887d
7a44a2c5b8000000 7b4af56260000000 37c033059fb289ff 37c033059fb289ff
7b00f3b186000000 7b5f0b9406000000 3ca0092e1b81a944 3ca0092e1b81a944
7b4a54f464000000 7b77d4f31a000000 3e8800d633d42086 3e8800d633d42086
7a9dd285c4000000 7b7b7da5d4000000 39053776d81f8ea9 39053776d81f8ea9
*7b42ca557a000000 7b4fdcf468000000 3f7f32f48c7d807d 3f7f32f48c7d807c
7b5a8939da000000 7b0d1f49de000000 4231b95d56a3aba2 4231b95d56a3aba2
7b47b1e782000000 7a25c9f2f0000000 48d16c02b31cca8b 48d16c02b31cca8b
7b089cefb2000000 7b0a7c3cfc000000 3fe44fcf13032570 3fe44fcf13032570
7b794f7126000000 7ac64c5318000000 45076c84862d4938 45076c84862d4938
7b8bcdc36e000000 7b410158a8000000 41cb791c24c7e59d 41cb791c24c7e59d
7b0c98a64a000000 7b2038768e000000 3f0527b55ea91140 3f0527b55ea91140
7ba5becad3000000 7b05e81770000000 44f379648f492630 44f379648f492630
7b81af500e000000 7bb18c8e41000000 3dd7e4ca9a00721b 3dd7e4ca9a00721b
*7a8dd2e030000000 7b0ff74666000000 3be186b289eedd6a 3be186b289eedd69
785464c400000000 7b126705be000000 29cd91eca73600a4 29cd91eca73600a4
7b8aefaeeb000000 78d6066580000000 55317a5094798189 55317a5094798189
*7b75802240000000 7ab9919bdc000000 454ab6a71b0e9258 454ab6a71b0e9259
7b16a77992000000 7b78d1b552000000 3cd8042406ad29ac 3cd8042406ad29ac
*7b6f2fd3ae000000 7b2c86d768000000 418ba604e2a66bfc 418ba604e2a66bfd
7b7f81e87e000000 7b64aff5ee000000 407817da7856fe0a 407817da7856fe0a
7b84a7d2ac000000 7b16296212000000 43113da2d3d29e43 43113da2d3d29e43
7b5d81ab40000000 7b787f7906000000 3f218cbc08aefc92 3f218cbc08aefc92
7a856072f4000000 7b5d0393c0000000 38d3eb85db38acab 38d3eb85db38acab
7b5d2f6ef4000000 7a97ffbda4000000 45d219abe8a0eb60 45d219abe8a0eb60
*7bb9967ae9000000 7b3ab11a36000000 43f3e3a5c3332d2d 43f3e3a5c3332d2e
7a88feafb0000000 7b35dd2f4c000000 3a06b8ae0849a467 3a06b8ae0849a467
7b17b4adf6000000 7b90d76367000000 3c3087b44d74a9d2 3c3087b44d74a9d2
*7b80ee8710000000 7b8570d1e4000000 3fbacc56119fd3ca 3fbacc56119fd3cb
7b5c5fe104000000 7a8cb8cbf0000000 46439d2041858701 46439d2041858701
7b40bed314000000 7bba0a477d000000 3c24e8302620bc0f 3c24e8302620bc0f
7ad016598c000000 7b429be134000000 3c46ebce2303994e 3c46ebce2303994e
7bbf7b1961000000 7a88d61b94000000 4998ed1862424b86 4998ed1862424b86
7b847c2397000000 7a7ed41758000000 4828c07d336ad68b 4828c07d336ad68b
*7b387f9cc4000000 7ae206e7e8000000 4287b747df1551a3 4287b747df1551a2
7b81287386000000 7a5dd73e18000000 48a85deb430dc9b3 48a85deb430dc9b3
7b356e81c0000000 7b85a4971d000000 3d6e2ad1c045c86b 3d6e2ad1c045c86b
7bbb956aaf000000 7adbdc3d08000000 46d357c4eebfe401 46d357c4eebfe401
7af899445c000000 7bbcbdde35000000 3944bfa518164b89 3944bfa518164b89
7b2563ee0c000000 7a46ec8fb8000000 46a6c1e8524d8da4 46a6c1e8524d8da4
*7b04c4eaa4000000 7b8e4761b5000000 3b771da6bdd6e7cc 3b771da6bdd6e7cb
7b1fa94274000000 77118cd200000000 5cab57b7e3615770 5cab57b7e3615770
7b160a7fd4000000 7ba2869840000000 3b62adbf9783f1e5 3b62adbf9783f1e5
7b99665861000000 7b8d67b53c000000 4056dc57eeb4022b 4056dc57eeb4022b
*7bb0cdf9f5000000 7b5275f336000000 42b87db19440d0b1 42b87db19440d0b2
79df07ba50000000 7b77a673be000000 3334662df9285e15 3334662df9285e15
7b8bc191db000000 7a9d5b5018000000 471aedad4a5b874f 471aedad4a5b874f
7b893aef1b000000 7bbc8f8bd0000000 3dd27ce37d676eb4 3dd27ce37d676eb4
7a048e6d08000000 7a395b5600000000 3db89c2d45ccc58d 3db89c2d45ccc58d
7bb862c5af000000 7a629afbe0000000 4a826e3a6d7acb84 4a826e3a6d7acb84
7bbe823ec7000000 7b033b6994000000 45ce88c46fd6277e 45ce88c46fd6277e
7b31c5d698000000 7b1e2818d0000000 407f01bcd47aa135 407f01bcd47aa135
7b31923f14000000 7a0a2d87d8000000 4923ef320c82f173 4923ef320c82f173
7b56ced7ca000000 7a3a5af288000000 489c5823aee729e4 489c5823aee729e4
7b25c6cb8a000000 7b0894ae62000000 40dae460eb324ef9 40dae460eb324ef9
7b4cbed574000000 7babac8550000000 3cc543d677c9f29e 3cc543d677c9f29e
*7b2b20105a000000 7b238dad3e000000 402f6825aeeea9f1 402f6825aeeea9f2
7b05efc742000000 7aa1cdb7c8000000 429f476f074c4aa7 429f476f074c4aa7
7a30896e80000000 7aa55d396c000000 3c452f87cca37bbe 3c452f87cca37bbe
7b283fe684000000 7b57426bfa000000 3e40be5cbb4c4475 3e40be5cbb4c4475
7b763c49f4000000 7b9717d6e4000000 3e84ce69dd83d6cc 3e84ce69dd83d6cc
*7b9414a3f0000000 7b912f52cb000000 40146c90d82a11f9 40146c90d82a11f8
7b00de4a7e000000 7b50692e64000000 3cf25b1c6a384abd 3cf25b1c6a384abd
7b79a11190000000 7bbb8d4a3a000000 3d52ee46f56468fb 3d52ee46f56468fb
7b7e98dc2c000000 7a072965c0000000 4b88db12e1799e29 4b88db12e1799e29
*7b19793a0a000000 7bbfbb9356000000 3a67554e6c961a58 3a67554e6c961a59
7b723387d6000000 7b131a4b9a000000 4295fe2924cc4de1 4295fe2924cc4de1
7b7691bb20000000 7b70cc6402000000 40188a6b48cbbc22 40188a6b48cbbc22
7b34e4a50c000000 7b100af52a000000 4105f80fee36ab27 4105f80fee36ab27
*7ae087155c000000 7b27182ce2000000 3d5ff7683ed334b0 3d5ff7683ed334b1
7a0c950310000000 7acdaa8b9c000000 3977e663fe0d3eda 3977e663fe0d3eda
7b17e490e4000000 7b5809e5d0000000 3d9fe94fc568753f 3d9fe94fc568753f
7b76e03af8000000 7b84140dca000000 3f7a05ca54966570 3f7a05ca54966570
7afe442564000000 7b8d02bddf000000 3b3672096d7ed0b8 3b3672096d7ed0b8
7addfac2c4000000 7b8b8351cc000000 3a5d4a2b51158b9b 3a5d4a2b51158b9b
*7b720f618e000000 7bb2eece2e000000 3d6944079d24c0bc 3d6944079d24c0bd
7b8f975f96000000 7bb898ba21000000 3e3911800afedd77 3e3911800afedd77
7bbff18c0d000000 7b0e2c208e000000 45667a63ceca15bc 45667a63ceca15bc
7b083817da000000 7ae3e4f350000000 40c8264751dfc505 40c8264751dfc505
7b7409bcea000000 7b2766d706000000 41d4c93b3959f940 41d4c93b3959f940
7b6323edea000000 7ae7d9aa08000000 43d66565aca3fcde 43d66565aca3fcde
7a564bde50000000 7ad6b80b88000000 3bfbf834acff719f 3bfbf834acff719f
*7b65df4eae000000 7b94ce5a40000000 3e2dda366b4c105f 3e2dda366b4c1060
799616e650000000 7b49033c98000000 31f92c6734c677c1 31f92c6734c677c1
7b1d898984000000 7ba42aea30000000 3bad491fae013975 3bad491fae013975
7b9a2fa12f000000 7b0368d832000000 44b17bff6588e06c 44b17bff6588e06c
*7bb8f94470000000 7a8e443e50000000 4933653b1c57798f 4933653b1c577990
7ba6360a66000000 7977c12640000000 515df12dae681918 515df12dae681918
7b0f77f388000000 7b00cb572a000000 4074ab8b6dfe7fde 4074ab8b6dfe7fde
7b12e4ea98000000 776a7c6800000000 5a7bde138697f47c 5a7bde138697f47c
7b47ed7652000000 7b5f50ff64000000 3f29813e07a6d7d5 3f29813e07a6d7d5
7b88734767000000 7babb2b4ee000000 3e5b9152294404f3 3e5b9152294404f3
7bb00e2b47000000 7b23cb7966000000 444ca640eaa4ec4a 444ca640eaa4ec4a
7ab19fcc88000000 7a20273380000000 446fb541a9a71ca9 446fb541a9a71ca9
7b031c78ca000000 7ad36cea24000000 40f6069b3b4ca223 40f6069b3b4ca223
7afede6d78000000 7b6338cf58000000 3c7c980ba56b490b 3c7c980ba56b490b
79581ee780000000 7b583f1d02000000 2ffecef5802d1256 2ffecef5802d1256
*793429c380000000 7b109e6742000000 30fbadb5c3a09cfb 30fbadb5c3a09cfa
7a83eb2428000000 7b0ab1d2f6000000 3b9bf13bc83721c1 3b9bf13bc83721c1
7ae7ae6d34000000 7ba7bbc047000000 398665c2e90cd02b 398665c2e90cd02b
7bb17877fc000000 7bbf8ce96a000000 3f69765f465ade41 3f69765f465ade41
7b6015e7d0000000 7b24e6820c000000 416f8745f8878da0 416f8745f8878da0
7b84e5d2e5000000 7ba9f68f36000000 3e415fd76f91c52a 3e415fd76f91c52a
7b745e029a000000 7bb65e4ae1000000 3d5c1fda8aa8ace1 3d5c1fda8aa8ace1
*7b5306f140000000 7b5473ea6c000000 3ff241bbfde717e7 3ff241bbfde717e8
798d18be70000000 7b5cd2970a000000 311c96ac0804baf6 311c96ac0804baf6
7b9430846c000000 7b83008d35000000 4086590f27585c39 4086590f27585c39
*7ba4c79666000000 7b7b67fa18000000 413e532003297ba2 413e532003297ba3
7b5a7504d6000000 7ab6648934000000 44ca798d68e90191 44ca798d68e90191
7b583a9122000000 7b816b06d7000000 3eaee03db4c1d62c 3eaee03db4c1d62c
*7ac266be08000000 7b90e4def7000000 395de137074d4bf7 395de137074d4bf8
7b7e3e07c6000000 7a6ea18f68000000 4842fd6c2b3cb357 4842fd6c2b3cb357
7af9f80510000000 7b567898e8000000 3ca97c3acf0b4d21 3ca97c3acf0b4d21
*7a79f9c620000000 7b5e2f618e000000 3880149a2a7cf3d4 3880149a2a7cf3d5
7b784256d6000000 7a72f1e538000000 48166632fd8af172 48166632fd8af172
79cebe2b40000000 7aea7cb2bc000000 370daed2bc85387c 370daed2bc85387c
7999a891b0000000 7bac2b1b79000000 2f23d184b2224ff8 2f23d184b2224ff8
7aa6db75d8000000 79377690c0000000 4b46a0e495e92143 4b46a0e495e92143
7b1512b040000000 7bb6a2c02b000000 3a87a43fa0063a2b 3a87a43fa0063a2b
*7b00b5c26c000000 7b2847c278000000 3e1e6c2ce07b77c7 3e1e6c2ce07b77c8
7b459bb748000000 7b54237d58000000 3f73b910c318c929 3f73b910c318c929
7b33bf2b84000000 7ab55ccf10000000 43edc110f8d3daaa 43edc110f8d3daaa
7a82d1fb5c000000 7a51d3b7c0000000 40fcdc4f5da52c94 40fcdc4f5da52c94
783d8a8000000000 78e0969ec0000000 3ac06815f0af4732 3ac06815f0af4732
7b844c35a5000000 7b36b55586000000 41caf0e30fd373e3 41caf0e30fd373e3
7bb0d98e40000000 7a9402d1f0000000 48c784bf4c3f9d41 48c784bf4c3f9d41
*7b6b2a4376000000 7ac9528d00000000 44ac22d344f681fb 44ac22d344f681fc
7ba8830ef8000000 7b73c2aec0000000 4187c8fffd6badff 4187c8fffd6badff
79daf4e030000000 7b32b93a70000000 34e68407257f4fa4 34e68407257f4fa4
7b9ee20bdd000000 7b83446fbf000000 40d76d240a8146c6 40d76d240a8146c6
79bb140780000000 7b0eca35aa000000 353d9bc60a0bf358 353d9bc60a0bf358
7b7a4b8e3e000000 7b99608e7c000000 3e870ef66e36c3b4 3e870ef66e36c3b4
7ba0c1b80d000000 7a603e97e0000000 49bc2c50c1e30248 49bc2c50c1e30248
7b9ca4fe3b000000 7ab1cbe214000000 470c5b01c3adcc5d 470c5b01c3adcc5d
7b46d9dba2000000 7a4e562ad0000000 47b5b39d63735d44 47b5b39d63735d44
7b0ba70e02000000 7905d4bbc0000000 502c8b1b44234662 502c8b1b44234662
7bb5d29857000000 7b44f10a78000000 4362c72478ece9fd 4362c72478ece9fd
7bb0a19e64000000 7a49fc3140000000 4afeedbbf069a1c6 4afeedbbf069a1c6
7b7886952e000000 7b6cea4aca000000 40322ee600a91e17 40322ee600a91e17
7b9d6e2c07000000 7bb215e2ee000000 3f1276c8c5c0dd67 3f1276c8c5c0dd67
*7b31db5544000000 7b1c1f94d6000000 408e8c2dbb811b77 408e8c2dbb811b76
7b16aad22c000000 7b2a61ea72000000 3f1305d84722434a 3f1305d84722434a
7b0909dfa0000000 7b51872a3a000000 3d3b76876a29942e 3d3b76876a29942e
7b8746d827000000 7a6b94d390000000 48980359c4fe14f8 48980359c4fe14f8
7adb4d7e20000000 7b2538827a000000 3d4f3001f2bee298 3d4f3001f2bee298
7b65471f56000000 7b76b09eb2000000 3f6f723656062666 3f6f723656062666
7bbb5fd65b000000 7b73d4cfa4000000 4225cddc088b326c 4225cddc088b326c
7a46574e58000000 7b64666bc6000000 36f2780b7476f954 36f2780b7476f954
7b190d5220000000 7b8b6e7977000000 3c6407c4c5943bc4 3c6407c4c5943bc4
*7b5b170a78000000 7b87e67f6b000000 3e72d3fbc0c5cd9d 3e72d3fbc0c5cd9c
792b1c2920000000 7b86566f08000000 2d184ceeba9f506f 2d184ceeba9f506f
7b74336a9c000000 7b23bf14b2000000 41f720ac2468b526 41f720ac2468b526
*7b91c4e87f000000 7b4f4a7516000000 41a02d019231a90d 41a02d019231a90e
7b338c1388000000 7a38ee4e40000000 47c460ed06f922c8 47c460ed06f922c8
7b5bf75326000000 7b27bf7e26000000 413ec283869dd2bb 413ec283869dd2bb
7b51faa844000000 7b7f812424000000 3e93174d13467266 3e93174d13467266
*7bbb84f99e000000 7b0586bbcc000000 459e1166d2c79755 459e1166d2c79754
7a36f2ded0000000 7ba980a331000000 34513b3fbd2218bb 34513b3fbd2218bb
7a3518e7c8000000 7b7fb75ff8000000 35aa62b4add4e62b 35aa62b4add4e62b
7ba9413543000000 7b24502d2e000000 441ecbada615d0d6 441ecbada615d0d6
7b053e1bc6000000 7b003f223a000000 4027e41f6ddc3631 4027e41f6ddc3631
7b77517390000000 7b328455b8000000 418aa77cef309dcb 418aa77cef309dcb
*7bbffb411a000000 7a93a7bc2c000000 493367e5d98dcde3 493367e5d98dcde2
7bab6a4174000000 7b829a4efd000000 413ffdd971717c98 413ffdd971717c98
7b4a130052000000 7a9c4becf0000000 452beb3e66db90fc 452beb3e66db90fc
7b37b8f3b2000000 7ba504c143000000 3c74107f00509241 3c74107f00509241
*7b17f9d490000000 7968d769a0000000 4d38bb69751546d6 4d38bb69751546d7
*7ba79f1040000000 7bb1066a71000000 3f9335618ea756b4 3f9335618ea756b5
7bae59b68a000000 78dee28640000000 5642095e74b2f5fb 5642095e74b2f5fb
7b2c165768000000 7b74ad41a4000000 3da068ccb09485f2 3da068ccb09485f2
*7b8ac24567000000 7b7b5477e8000000 406ab293e95170fb 406ab293e95170fc
7bab5d0b43000000 7bb91bfbf1000000 3f67eb62cf82f5e9 3f67eb62cf82f5e9
7812e30680000000 7b816836f7000000 248a513c68eaad24 248a513c68eaad24
7bb3729cc3000000 79be80b740000000 4f8926fa2d7cdda6 4f8926fa2d7cdda6
7b7e24e5d6000000 7b3d9f500c000000 415c6e6673db3a04 415c6e6673db3a04
7b0a080eca000000 75c1c7c000000000 607480e358b8757e 607480e358b8757e
7b406fbdfa000000 7b70ed4850000000 3e63cea5cbf21d87 3e63cea5cbf21d87
7b8c20446d000000 7b3e94a3d0000000 41e1cf43673339d1 41e1cf43673339d1
7b2e8c985c000000 7b224897a4000000 404d653cedef11fe 404d653cedef11fe
*7b3f0515c0000000 7addf8acac000000 42e26dadbef88d84 42e26dadbef88d85
7b1335dff6000000 7b57459e9a000000 3d787e5f37caa05b 3d787e5f37caa05b
7a3643e898000000 7a8384f0a4000000 3d8b1ac49d24273f 3d8b1ac49d24273f
7bbcc71b20000000 7b6c960fe8000000 426225e753d6bf96 426225e753d6bf96
7b30beceaa000000 7b86620b1b000000 3d42cd3c7e0c6bd8 3d42cd3c7e0c6bd8
7b43dbae82000000 7b5e4fc8d0000000 3f0c4d68bfa88156 3f0c4d68bfa88156
7a9d0d1d10000000 7a75a79308000000 411d5261f88c0c64 411d5261f88c0c64
7b4ae5d8b8000000 7b7f455d32000000 3e5bd18734b676ba 3e5bd18734b676ba
7a945bf5f0000000 7b0ec1873c000000 3c28314c76dea89e 3c28314c76dea89e
7b5d952604000000 7b18b48982000000 41cddf8808ba3167 41cddf8808ba3167
7b4c2b6bfe000000 7b287afebc000000 40d8e9e4277b80a3 40d8e9e4277b80a3
7b17f9e6b4000000 7b8b2cb37c000000 3c5e3089334eb696 3c5e3089334eb696
7a5cf217e0000000 7aeb1e1970000000 3b848e1fb65f287c 3b848e1fb65f287c
7b2f0df07a000000 7b81b3f8fc000000 3d660c35f8067f1f 3d660c35f8067f1f
7b8f0505bb000000 7a8e0fae5c000000 4806e87578217e81 4806e87578217e81
7b8ce0ac78000000 7aaa8d22dc000000 469baccba14c3a20 469baccba14c3a20
*7b3c96e3e8000000 7b48cf496a000000 3f835e644aff9506 3f835e644aff9505
7ab15d06cc000000 7b5aa0ef5e000000 3a7d72c69f49d3a1 3a7d72c69f49d3a1
797d720980000000 7ae4dfb8ac000000 346def5eded1d6d0 346def5eded1d6d0
7a3f9e0330000000 7b4c6e0480000000 377fa48b5c84c38c 377fa48b5c84c38c
7a6cfc9700000000 7b08960434000000 3af0b6f7c2062b58 3af0b6f7c2062b58
7b270ef3de000000 7ba58b232d000000 3c095ee718ab2d17 3c095ee718ab2d17
7b7b05e08a000000 7b56f674ac000000 40abc6f4097d2a6c 40abc6f4097d2a6c
79bc2256d0000000 7b9b228326000000 30d9d1a8d4fd0586 30d9d1a8d4fd0586
7bafc63c70000000 7b3e933eba000000 4360f1bc6e3d34e2 4360f1bc6e3d34e2
7b015b4ca6000000 7ab524b2d4000000 41b68057008f0ec6 41b68057008f0ec6
7b1589b366000000 7b18df9780000000 3fd350ac645be2a4 3fd350ac645be2a4
7b10d75fb6000000 7b75162c46000000 3cba53c287c57ac7 3cba53c287c57ac7
7aaee5ac74000000 79919562e0000000 48ce2f5f85eca32b 48ce2f5f85eca32b
7a9f510b60000000 7b6cfc89a0000000 3960c9f75b0bafeb 3960c9f75b0bafeb
7b2b1243de000000 7b607fe568000000 3e1899a9664ce773 3e1899a9664ce773
7b6212b5e6000000 7821468600000000 599b6cc5f4c44ca6 599b6cc5f4c44ca6
7b72b291c4000000 7b98dd9dcc000000 3e59c17ad3d89b4c 3e59c17ad3d89b4c
7b6f81a3ba000000 7b1dc4d5a2000000 421284963f7b14fc 421284963f7b14fc
7b891d9080000000 7b519459a0000000 413be2adc3226c98 413be2adc3226c98
7b2049efbc000000 789db65880000000 5410ba9d52929a76 5410ba9d52929a76
*7853e54e00000000 7b0fcb9376000000 29e4f5bbe23be194 29e4f5bbe23be193
7b22b28868000000 79ac55b1c0000000 4b8d78a053bcd68f 4b8d78a053bcd68f
*7ac2bfda2c000000 7ba17e3c12000000 38d2df628c6c40ff 38d2df628c6c4100
*7b8d3c347f000000 7bb257c128000000 3e55dfe4e33cbcce 3e55dfe4e33cbccf
7b52c80b9a000000 7b3d2af166000000 4074ffb4df78cad2 4074ffb4df78cad2
7af4747110000000 7a509fe2c0000000 44afde032eecf765 44afde032eecf765
7b8013b4c5000000 7b14b2a186000000 42e3fde1f722df38 42e3fde1f722df38
7b18d77b00000000 7b52ef7524000000 3dcbf5f9165fea4d 3dcbf5f9165fea4d
7aa3bb25d8000000 7b8988d9c5000000 38c30b11c0a49c35 38c30b11c0a49c35
78e2edba80000000 7aa409f8ec000000 3188956f580ceca5 3188956f580ceca5
7b27c45510000000 7b1feee8d6000000 403228102b0b039a 403228102b0b039a
7a13d1c668000000 7b79a1e7fe000000 34bcb842302e6ca0 34bcb842302e6ca0
7b33009c60000000 7b9605efb8000000 3cc5ccfd4521e5fd 3cc5ccfd4521e5fd
7a974dc8e8000000 7ab589e2e0000000 3eaae93c6a6282d4 3eaae93c6a6282d4
*7b4bfac846000000 7bb84dab08000000 3c6d527d627655df 3c6d527d627655de
7aa8cdb2dc000000 7bbf7db253000000 370d5b596532ae1d 370d5b596532ae1d
7b1ca73580000000 7b200dbde4000000 3fd47ceae429306b 3fd47ceae429306b
7b59c05616000000 7bb450fee3000000 3cd496e65d8ec784 3cd496e65d8ec784
*7b885489fa000000 7b971397c3000000 3f38193527c3ce06 3f38193527c3ce07
7b679d626c000000 7b2d504974000000 415876e99fcffde2 415876e99fcffde2
7b4e34ed6a000000 7b415db882000000 4043ffe8fbe19ac8 4043ffe8fbe19ac8
7b8af9239d000000 7b5ede015e000000 40fd12052460a762 40fd12052460a762
7b6f84e808000000 7b7d8fa9a6000000 3f8e9558d6d807fc 3f8e9558d6d807fc
7b0c2e4ad2000000 7b3db9d572000000 3de92f44bf30341e 3de92f44bf30341e
7b3eed6228000000 7b2220920c000000 40b5e6e33e3ee389 40b5e6e33e3ee389
7b1c97d6d0000000 7b2e724a32000000 3f2e66f10e784e37 3f2e66f10e784e37
7b1fb03bb2000000 7a23188688000000 47d53697d709fc9b 47d53697d709fc9b
7ad8583f48000000 7abd3b3bb4000000 4092b85b929dc507 4092b85b929dc507
7b4ae6b3ae000000 7b8461fb3b000000 3e21787411da83c7 3e21787411da83c7
7950fe80c0000000 7ad52ddec0000000 33d7cb700add90f2 33d7cb700add90f2
7a46286060000000 7bbc9e03d9000000 3433cb8a7ef46937 3433cb8a7ef46937
7b49348d3c000000 7b7c70cbc8000000 3e60568ace9c5eca 3e60568ace9c5eca
7b01fffe28000000 7b56447548000000 3cda8f263f56a69d 3cda8f263f56a69d
7ace0f7650000000 7b338a1640000000 3c974316cb45a375 3c974316cb45a375
7a9f00f9f4000000 7a40f12190000000 4297c2c553aef756 4297c2c553aef756
*7b2ffae208000000 7b51807b22000000 3eb84dffc2f5507a 3eb84dffc2f5507b
7b83405ed7000000 7b8b814e99000000 3f86d51b2bd27686 3f86d51b2bd27686
7b050a9164000000 7aac027550000000 423007efe472bf99 423007efe472bf99
7a8e7dcb2c000000 7a5415cdb0000000 415ff7bcfdbf6ce2 415ff7bcfdbf6ce2
7b93c0dae6000000 7a9b7f4688000000 479a02380cd54539 479a02380cd54539
7a9810213c000000 7b2c8c4730000000 3b0cdc588904c2ac 3b0cdc588904c2ac
*7b23c0ddee000000 7b1346f636000000 40728e91c9f69c48 40728e91c9f69c49
7b6191ba9c000000 7b4b4293ba000000 4070641a50cdf7a7 4070641a50cdf7a7
7b6106997a000000 7b96cce59e000000 3df805ce0cdbe841 3df805ce0cdbe841
7bbbe76d75000000 7b04c77768000000 45a920323303be07 45a920323303be07
7b40e0c172000000 7ab2c12b0c000000 4450e7fbd28a3620 4450e7fbd28a3620
*7aa0141644000000 7b21e75aec000000 3be8e94b6e09bb9f 3be8e94b6e09bb9e
*7b06fa60c2000000 7b47d8e60c000000 3d673b42f8cf44be 3d673b42f8cf44bf
7b9357692b000000 7a8fb64468000000 4819dc7c36d07ea9 4819dc7c36d07ea9
7b909cbdca000000 7aed71baf0000000 44df4ea3f4457c60 44df4ea3f4457c60
7b69c27d22000000 7b2833dc56000000 418f1ae9a1e9078a 418f1ae9a1e9078a
7b9f48e1c3000000 7b8838a7bc000000 40ad5f215373184e 40ad5f215373184e
7b700efe8c000000 7bafe59f8d000000 3d7585c64022d6c2 3d7585c64022d6c2
*7b072a2cf0000000 7b59d17bae000000 3cf6dd2810cceff0 3cf6dd2810ccefef
*78ffe36e00000000 7b45bbf076000000 2d2d29694aa7346d 2d2d29694aa7346c
7b6a42cb26000000 7b780e19fc000000 3f8e1cb4f51134a4 3f8e1cb4f51134a4
7a161cbe40000000 7ae2d9f02c000000 394b345e3d470818 394b345e3d470818
7b51df95aa000000 7b96c32581000000 3d917dc7b3dd7020 3d917dc7b3dd7020
7b9b947447000000 7b3c2260d0000000 429d9e3a2cc3a613 429d9e3a2cc3a613
7a165193f8000000 7ab960303c000000 3a7cb202e62331c7 3a7cb202e62331c7
7b96c7ac74000000 7b7773faaa000000 40dfe7295818672e 40dfe7295818672e
7b0a366320000000 7bb25c20bb000000 3a3302d666976207 3a3302d666976207
7b33965b7a000000 7b2fcac820000000 40161b7cfa5cb4db 40161b7cfa5cb4db
7b41685994000000 7b6125b462000000 3edf4998dea405f3 3edf4998dea405f3
7b273ec2ca000000 7ba5cf5e5b000000 3c08dceb923a8a72 3c08dceb923a8a72
7a8bbbebb0000000 7ab5aa3c88000000 3e274ab435abc13e 3e274ab435abc13e
7b7b6984d6000000 7b83a327b7000000 3fa3b8f49e5b3e5f 3fa3b8f49e5b3e5f
7b9dfd6954000000 7b22a847a0000000 43c53b8c9f7e98f6 43c53b8c9f7e98f6
7ba9728612000000 7b01d8c880000000 45384c699ae36dbd 45384c699ae36dbd
7b7d7d65e4000000 7ba727487d000000 3e10e7ee63f167cc 3e10e7ee63f167cc
7b091f17ee000000 7a65e0e230000000 44c59f6d1614a9e4 44c59f6d1614a9e4
7b70f6d89a000000 7b5c042412000000 40617f1eb8c5a621 40617f1eb8c5a621
7b3b51010e000000 7b6e743e7e000000 3e48cbd89300a745 3e48cbd89300a745
7a294ad430000000 7a88e031f8000000 3cf2840b4b1e7a61 3cf2840b4b1e7a61
7b93f63b86000000 79da4c6d30000000 4d6c203b60bbd6cc 4d6c203b60bbd6cc
7b903a1e88000000 7b633d781a000000 4113d85a158b7700 4113d85a158b7700
7b84dee613000000 7a958de438000000 471b88e9d8e50ea1 471b88e9d8e50ea1
7a1eb64458000000 7b98d52199000000 342764a027211c1d 342764a027211c1d
7bb3083fe2000000 7a90439c4c000000 48f6c98fdb20441f 48f6c98fdb20441f
7b8a73dda6000000 7bb7e725f5000000 3e05d9e294eb8244 3e05d9e294eb8244
7b12e8c044000000 7a72553188000000 44d98ea26e379444 44d98ea26e379444
*7b21788f1c000000 7b78f94008000000 3d30390d96b8fff3 3d30390d96b8fff4
7b04b71a8a000000 7b36604a68000000 3dd254c406632c11 3dd254c406632c11
7bb463c5f9000000 7b8bcfed67000000 412931b90aa06728 412931b90aa06728
7b72f596ca000000 7b85200d87000000 3f4cd847edb7f84d 3f4cd847edb7f84d
7b884c8d6b000000 7aef5962a8000000 448e3f6c063ac343 448e3f6c063ac343
7b40a06576000000 7bbcb05364000000 3c155eb975b3acc1 3c155eb975b3acc1
7b0f4c8c22000000 7b3395fc40000000 3e622f1d2b0c174e 3e622f1d2b0c174e
7b03a0c1d6000000 7b1fe5a6f8000000 3e95ec1e649374a0 3e95ec1e649374a0
*7b95a156cb000000 7ba22093a6000000 3f62230f8533adcf 3f62230f8533add0
79ca326e00000000 7b3a8f39b8000000 3455d50d6ffc5078 3455d50d6ffc5078
7b77d7238c000000 7b1ce70f96000000 42517dc3a7ee76b7 42517dc3a7ee76b7
7bad3a7059000000 7a0c674488000000 4cef669b3c88f5a9 4cef669b3c88f5a9
7b612836e2000000 7ae7765ce4000000 43c835de08d96162 43c835de08d96162
7b5da90adc000000 7b58ff5a70000000 401600f54922f584 401600f54922f584
7b88511f05000000 7b9c0ef5c7000000 3efced02cc28e46f 3efced02cc28e46f
7b7c192b92000000 7b71ca74ec000000 402ba71fa3a743a9 402ba71fa3a743a9
*7b2bd91a00000000 7b59c2366e000000 3e503712712ece23 3e503712712ece22
7b4ac9cf5c000000 7b3c7b580a000000 404db9c1c57576a0 404db9c1c57576a0
798f010fe0000000 7b46e2faf0000000 31c08f56a6c96265 31c08f56a6c96265
7b2e45ccf8000000 7b3db93bfe000000 3f593677ec0bd617 3f593677ec0bd617
7b20a5315e000000 7af21f38a8000000 414ed361f8c586bc 414ed361f8c586bc
*7af4692810000000 7b3285535c000000 3d79f251c3c8e736 3d79f251c3c8e735
7a7fca5d10000000 7a21e98400000000 4251b9bd4f62cbc3 4251b9bd4f62cbc3
7bb81f47ad000000 7b6097c8a4000000 428ef5756998d826 428ef5756998d826
*7b2189fd56000000 7b6a732362000000 3d8319731d2033f2 3d8319731d2033f3
7b131d1c00000000 7b617c949a000000 3d382bf5dd87858e 3d382bf5dd87858e
7b8976c232000000 7b81add5ad000000 403d78f620b74a97 403d78f620b74a97
7b42145d3e000000 7b347781ba000000 404d3daf48fba1fd 404d3daf48fba1fd
7b6dcecebc000000 7aaa62f27c000000 4595316fd91fee74 4595316fd91fee74
*7b15f41654000000 7678a64000000000 5e5eb81748c4c2df 5e5eb81748c4c2e0
*7ab11a4930000000 7bac0439ca000000 381e470e5c5fb087 381e470e5c5fb088
7b3533d4da000000 7ba32df9ab000000 3c71194e80d8e0c5 3c71194e80d8e0c5
7b2d39ecd2000000 7ba593f598000000 3c2f4cd6bd650505 3c2f4cd6bd650505
7a8e308cec000000 7b82e388b6000000 385869532c30bd64 385869532c30bd64
7b23305ec4000000 7b7c4c1b52000000 3d2cabd5129a6077 3d2cabd5129a6077
7ba6118261000000 7aa0d4972c000000 48215991897647ed 48215991897647ed
7ba3ba0341000000 7b133b4b38000000 4472b920ae62cf38 4472b920ae62cf38
7aac62ba04000000 7b6aa46546000000 39e09d185acdf6c7 39e09d185acdf6c7
*7b0f87668a000000 7b225461c4000000 3f12cdf53dc74c89 3f12cdf53dc74c8a
7a6c3ac370000000 7b56fb6d0c000000 3865349437ae7464 3865349437ae7464
7b9ac7d67f000000 7b11400de0000000 4443303d68e2c7f0 4443303d68e2c7f0
7b419fd252000000 7b45171388000000 3fdbfc5f5d58f772 3fdbfc5f5d58f772
7a4e51be90000000 7b7cae8330000000 36883aef3eaa9881 36883aef3eaa9881
7b1c128096000000 7ad2483944000000 41f008c0db7d9090 41f008c0db7d9090
7b0dee9110000000 7abb64a5d0000000 420f28a42f07ebbb 420f28a42f07ebbb
7b971d9816000000 7b418300b4000000 423f4eb5be529725 423f4eb5be529725
7ab4c1ac30000000 7b4a4db0c2000000 3b25df1e55e6f026 3b25df1e55e6f026
7b95538eac000000 7b684f6728000000 41246e80e5984cac 41246e80e5984cac
*7a20000ea8000000 7bac7126c2000000 336c3c5da340702b 336c3c5da340702c
7b29d267de000000 7b0260d9c4000000 4135cade24873f7d 4135cade24873f7d
7b9197ff23000000 7b54798536000000 417b5923e3df35c9 417b5923e3df35c9
7ad56081d8000000 7a966003e0000000 41ad05390a816726 41ad05390a816726
*7b96ade95d000000 79a4154650000000 4f58b3828bafff54 4f58b3828bafff55
7b4d90dbb4000000 7ba845e880000000 3ce2f07fd27a2e58 3ce2f07fd27a2e58
7b68fc2e00000000 7b9c208e51000000 3df8179ccb2aee7d 3df8179ccb2aee7d
*7b1bbbd2f0000000 79b2c005d0000000 4af84b17f88ba998 4af84b17f88ba999
7a99878ad8000000 7bb4a65753000000 36cc8a81bc6d4180 36cc8a81bc6d4180
7acdc7a374000000 7b9adff9b7000000 395092973068b494 395092973068b494
7b218dcb48000000 7b814fd256000000 3cff51eb9b6da1c6 3cff51eb9b6da1c6
7a982fe850000000 7b6e5190b4000000 391bd36f2c73e20e 391bd36f2c73e20e
7bb5f629a9000000 7b32fbc5e4000000 441109c85d431b4e 441109c85d431b4e
7a10461088000000 7b86bd0f4d000000 34487767fee8afc1 34487767fee8afc1
7b359b6a90000000 7bb814bc26000000 3be479fd3b6e4e1f 3be479fd3b6e4e1f
7b7bcbaf4e000000 7b90c3def1000000 3ef515535cf3411c 3ef515535cf3411c
7b91929f18000000 7b8fee99b9000000 400bac39edb2e67f 400bac39edb2e67f
7b9780ee3e000000 7b58c0a8c0000000 41977dcbd418ac5e 41977dcbd418ac5e
7b1006abbe000000 7a2b362f28000000 46bacfad55834726 46bacfad55834726
*7b7a4866a2000000 7b332be9ee000000 41966a2a566a30d8 41966a2a566a30d7
7a95557e78000000 7b94a5218f000000 3804bef212874060 3804bef212874060
7b0bec92ae000000 7b5ab16afa000000 3d1e59c9bcb6612e 3d1e59c9bcb6612e
7aa82f9dd0000000 7b0634f950000000 3d0342b753c657c3 3d0342b753c657c3
7b0ddd54e8000000 7b1ec28e26000000 3f260cb7cf660d82 3f260cb7cf660d82
7b2f7f3c6e000000 79ce4f3cb0000000 4ace212312438e9d 4ace212312438e9d
7af2e7f240000000 7865c2d580000000 543a95a5284a09d4 543a95a5284a09d4
7b1ffee0e6000000 7b83a8a705000000 3cdc650c87ebc806 3cdc650c87ebc806
7b2259997c000000 7a9efc3aa8000000 4415abf4c8af395e 4415abf4c8af395e
7a046cd680000000 7b8de6c94f000000 33773b635b583abc 33773b635b583abc
7ba98a9456000000 7b411a1688000000 43061f0853e0895e 43061f0853e0895e
7b918f7054000000 7b756ec228000000 40be9ed44dfa8e2f 40be9ed44dfa8e2f
7984c19ee0000000 7a88742c90000000 37c8816c439a46bb 37c8816c439a46bb
7989e2a630000000 7ac75ab910000000 358884c206d6f108 358884c206d6f108
78aa859a00000000 7a516cd5b8000000 32838f27c3702a3f 32838f27c3702a3f
*7bac8e0f59000000 7b8af63057000000 40f78beb495a9c39 40f78beb495a9c3a
7bbc4aa5dc000000 7ad4b0e6f0000000 47150edf817ddb49 47150edf817ddb49
7af3337a6c000000 7afbd2f110000000 3fb9df70d2990e6b 3fb9df70d2990e6b
7b1eb3a8e8000000 7b52b5dbe8000000 3e06809d9cd29139 3e06809d9cd29139
7b13d5d936000000 7b8ba47a50000000 3c3c140b23fb2b38 3c3c140b23fb2b38
7b9e8727b1000000 7b86b7cb37000000 40b4fb3e5c4ba4f3 40b4fb3e5c4ba4f3
7b15326d28000000 7b5bc1f84a000000 3d6e6b6c63b61723 3d6e6b6c63b61723
7b60257256000000 7b94842330000000 3e0975c213200b33 3e0975c213200b33
7b730aecea000000 79e99e0dc0000000 4c29502f3025152e 4c29502f3025152e
7b9b3bee67000000 7b083d5a12000000 448ec3f577adf15a 448ec3f577adf15a
7b78f5ba02000000 79b4ea7920000000 4d81228281c90f06 4d81228281c90f06
7b3145a072000000 7b6c00a6ec000000 3e0257f7de273e50 3e0257f7de273e50
7b99e8886f000000 7b67bd7d40000000 41502989c9a48900 41502989c9a48900
7bba1f0080000000 7a331b2b80000000 4c281b4684e6f8ee 4c281b4684e6f8ee
7b7e5acc66000000 7a160e85c8000000 4ac7bcf980398fe5 4ac7bcf980398fe5
7b8c63b8e7000000 7b9915eea2000000 3f5626452d8f71f1 3f5626452d8f71f1
7b86a08f5a000000 7b0d0572ce000000 43a32412b9b933f1 43a32412b9b933f1
7abde55048000000 7b85cdf58d000000 39ad437d5f7070bc 39ad437d5f7070bc
*7b32891440000000 7aef7433e4000000 41f6fa1f38d56f90 41f6fa1f38d56f91
7b9ee3e42f000000 7b3fca32f4000000 42a0af9bbf336166 42a0af9bbf336166
7897f19800000000 7b1cba7082000000 2bc17ab1ac463a10 2bc17ab1ac463a10
7b4b661e0e000000 7b3748a102000000 4070620581fee4bd 4070620581fee4bd
7b8a3a453b000000 7912de66c0000000 5387811c7b24dfbb 5387811c7b24dfbb
7aee25a7ec000000 79c9a0b9b0000000 48b97813908ac9af 48b97813908ac9af
7b25e856f0000000 7ba13c7903000000 3c1daade0620c9a6 3c1daade0620c9a6
7b507cb838000000 7b3a5ce166000000 407990dc79225f6e 407990dc79225f6e
7a974db0e4000000 7ba3c7c618000000 3763fb6d66472957 3763fb6d66472957
7b5390f89c000000 7b718f2f62000000 3f01b630fa32760c 3f01b630fa32760c
7b0a087e36000000 7b240db0d6000000 3ebb2b610f469bc0 3ebb2b610f469bc0
7b2bec10c8000000 7b55af56a8000000 3e6fbca67ec70885 3e6fbca67ec70885
7b6b9d3d06000000 7bbfbe84b3000000 3cea49139767c7fc 3cea49139767c7fc
7b473e860c000000 7bbad2dd9e000000 3c441408bd45f4bf 3c441408bd45f4bf
7b91c55d1e000000 7ae6552da8000000 45101ec7dbfe77da 45101ec7dbfe77da
7a96aa23c8000000 7b8793fba1000000 3871f24533522404 3871f24533522404
7b72a7a03a000000 79949cbf80000000 4e87fdd59e2d8438 4e87fdd59e2d8438
7b04cdb27e000000 79b192d3b0000000 49fba7ee1c9ab9d3 49fba7ee1c9ab9d3
7b05be2ec6000000 7b5022c462000000 3d23fe724c9746c9 3d23fe724c9746c9
7a156946e0000000 7af0cb9e00000000 38f6c3b4cfd2c819 38f6c3b4cfd2c819
7b62b65c54000000 7b2a280436000000 41545a9daee98cd2 41545a9daee98cd2
7b0e982978000000 7bb43a458d000000 3a545bae95b8371d 3a545bae95b8371d
7bbd25644d000000 7b33f27b30000000 443458c196efc8f4 443458c196efc8f4
7b60da5a1a000000 7bae809277000000 3d2776c552c4f51a 3d2776c552c4f51a
7baf0d3fb4000000 7adee50724000000 464868fc5da21b9b 464868fc5da21b9b
7b4575b008000000 7b58654802000000 3f4cc9a985b0982f 3f4cc9a985b0982f
7b91b27f62000000 7b26500a22000000 43022386163f5edf 43022386163f5edf
7b9ab33678000000 7b017f7e2c000000 44c748b26a15f48c 44c748b26a15f48c
7b8ae146db000000 7b7adc1cf8000000 406dce2e71d45619 406dce2e71d45619
7bacf26317000000 7b9c93c63d000000 406b0e3372d03d51 406b0e3372d03d51
7b212c271a000000 7b0f4b331e000000 407fc3a31b552a0d 407fc3a31b552a0d
7b3aa70aa6000000 7b36eeb4d0000000 4014d2e1df62b0c0 4014d2e1df62b0c0
7b0a275016000000 7b8a45e86a000000 3bfe3ad94457c576 3bfe3ad94457c576
7b7016414a000000 7a2d4ddcc0000000 498a993c607c8b99 498a993c607c8b99
7b23d703f2000000 7b2abd4bf0000000 3fad3da8cb26cab1 3fad3da8cb26cab1
7b62422c02000000 7b96ff2a04000000 3dfe6535912c69fe 3dfe6535912c69fe
7b3f491cc4000000 7b52586d4c000000 3f466d34f44936fa 3f466d34f44936fa
7ab2a39670000000 7ac640416c000000 3f3566cc8aa58a80 3f3566cc8aa58a80
*7bbe8adc9f000000 7a6e4fdce8000000 4a657aaf705ce818 4a657aaf705ce819
7b111e74be000000 7a717b5808000000 44cebfe70d574881 44cebfe70d574881
7b86f63244000000 7b6a703ff8000000 409afe7abba7922c 409afe7abba7922c
7b1f7ef6ba000000 7b0b021dc6000000 4096ec00c4334944 4096ec00c4334944
7a1810dcc8000000 7a4275ade0000000 3e4184e3fa4a3fed 3e4184e3fa4a3fed
7b4760f3c8000000 7a4fc26ee8000000 47ad62cfa83ff765 47ad62cfa83ff765
79d86d5b80000000 7acdbfd504000000 383524902833d019 383524902833d019
*7b3ef2b980000000 7ba088f152000000 3cc1fef708f4d235 3cc1fef708f4d234
7b177d55fc000000 7b0653ad4a000000 4082d5b92b654930 4082d5b92b654930
7aea04fcbc000000 7b328b016c000000 3d3e2cd0c11355d5 3d3e2cd0c11355d5
7b6d3397cc000000 7b99fa9bf0000000 3e2973ae95eca4bc 3e2973ae95eca4bc
7b739ce410000000 7b04b0edc8000000 43580077b36f6e13 43580077b36f6e13
*7b3a48e52a000000 7bb44fb138000000 3c21ec723fc68c4b 3c21ec723fc68c4a
*7b9b9df79b000000 7b277c7cf6000000 436edd5eb263a488 436edd5eb263a487
7b1c949a50000000 7a2b634d18000000 474f0f0ace6c8e19 474f0f0ace6c8e19
7b9616b560000000 7bab6ebfbd000000 3f010477fd8a14d5 3f010477fd8a14d5
7b137835b6000000 7bb1b4acfb000000 3aa389b298ae7d48 3aa389b298ae7d48
7b7e59fc70000000 7a40334018000000 494b20de9088a50a 494b20de9088a50a
7b0e422d3c000000 7a2a1d9cc0000000 46b0a197f83ad8fc 46b0a197f83ad8fc
7b06ea4f80000000 7b90dd317a000000 3b7359b6f8b63fc3 3b7359b6f8b63fc3
7b0df0c126000000 7b05444bf2000000 4042a5a1c54c4552 4042a5a1c54c4552
7aa38e65f4000000 7b8e197732000000 389a9f472e87ad77 389a9f472e87ad77
7b2fcbb322000000 7b58b1827c000000 3e7d7857b3872a8c 3e7d7857b3872a8c
7b9ef6a8ac000000 7b9ede3a25000000 40009d7a2dd25863 40009d7a2dd25863
*7baefae737000000 7b0fb48452000000 44dedb1f017ceb5a 44dedb1f017ceb5b
7bacf7b157000000 795c181900000000 52497b640b232023 52497b640b232023
7ad0cc0d9c000000 7b17dcb406000000 3d7fe8fb2ca56864 3d7fe8fb2ca56864
7a96fbebb4000000 7b465bd53c000000 3a16de989f438763 3a16de989f438763
7a1e44e160000000 7b256d5888000000 37a761f18f9ad20e 37a761f18f9ad20e
7b541d56ce000000 7b0ff73f28000000 41e4ba9102923a66 41e4ba9102923a66
*7a75283238000000 79fcda6540000000 43c1aa3e67e5ef57 43c1aa3e67e5ef58
7aaca628c8000000 7bb26da274000000 37bdaa944392db5d 37bdaa944392db5d
7b4508a532000000 7a29c1acc8000000 48a48a7376bb72ac 48a48a7376bb72ac
*7b74d28410000000 7814ac7000000000 5a963b3c10d3bee9 5a963b3c10d3beea
*7ba505dbee000000 7a964b30e8000000 48645ac9f06a89bb 48645ac9f06a89bc
7ace5bed50000000 7b878a2e87000000 3a1709d3a1311c5e 3a1709d3a1311c5e
7bbacb01d4000000 7ab800f570000000 480f85c0f25e5e19 480f85c0f25e5e19
*798b387670000000 7b3fa1bb84000000 31cfdf721a95bc6a 31cfdf721a95bc6b
7b2726132e000000 7bbc4a82bc000000 3b1a0a237f4673a8 3b1a0a237f4673a8
7b4eb61892000000 7b8e5e0b6b000000 3dcecddc9febba56 3dcecddc9febba56
7b54958030000000 7b601d2760000000 3f96a32bae850363 3f96a32bae850363
7b5c5dd25a000000 7af77726bc000000 431fbb54c56ce6f0 431fbb54c56ce6f0
*7ab16459b0000000 7b2b13eaec000000 3c25cb49cb65de4e 3c25cb49cb65de4d
7b1877aa34000000 7b96a3d685000000 3c0c6c213d6d1975 3c0c6c213d6d1975
7b0b31124c000000 7ae9aaf91c000000 40c3f4cd1f08e73e 40c3f4cd1f08e73e
7b29034068000000 7b63e33f26000000 3deee5bd4f19016c 3deee5bd4f19016c
79ff4b3bd0000000 7ba0bd754f000000 325a5bb3aa7f8a5b 325a5bb3aa7f8a5b
7b88957618000000 7b2b1a79c8000000 4262d28340524a68 4262d28340524a68
7b3650672c000000 7b3a2e2c98000000 3fd5786ea61583fa 3fd5786ea61583fa
7b0efdb8ee000000 7b5639cea8000000 3d56feebdd9e9504 3d56feebdd9e9504
7b7ba91736000000 7b2028a51e000000 424907d619802a51 424907d619802a51
7b01544870000000 7b31f97e64000000 3dd039a74a95d4e9 3dd039a74a95d4e9
7ab4ada36c000000 7b882900af000000 394ecd06113e474d 394ecd06113e474d
*7b08334d0c000000 7baafff477000000 3a5f386e99464a84 3a5f386e99464a83
*7b307aa67c000000 7b84c3cabe000000 3d5129d390b01c70 3d5129d390b01c71
7b83fcb3a9000000 792d178320000000 5219a77ccefaf881 5219a77ccefaf881
7b8ceccb6d000000 7b102cb45e000000 43d1d6b90af766cb 43d1d6b90af766cb
*7ac1a2c240000000 7b4a543d56000000 3ba80167d65f9cb9 3ba80167d65f9cb8
*7b19b449da000000 7ad19590e4000000 41ddf4bbc46f90f4 41ddf4bbc46f90f3
*7b5525b588000000 7b338de0b4000000 40bf94f34a50bed6 40bf94f34a50bed7
7b78f77cd0000000 7b9afb8b55000000 3e6cf8b3b48171b7 3e6cf8b3b48171b7
7bbef10f05000000 7b12abc6aa000000 45351494b8f88c8b 45351494b8f88c8b
7b1ec1df1c000000 7aa60fa724000000 43a5ec59006b7512 43a5ec59006b7512
7a8c734ebc000000 7b17b95bee000000 3b67d387ffffff43 3b67d387ffffff43
7b08feea3c000000 7b441bc568000000 3d96ac9044efcfbd 3d96ac9044efcfbd
*7a29948a60000000 7b93e064ad000000 34964aa0e6bd816b 34964aa0e6bd816c
7b8b91cc7e000000 7bb84f64fc000000 3e0edb0398a56c39 3e0edb0398a56c39
7b3f7a2548000000 7b20228338000000 40c86c0513884945 40c86c0513884945
7a52ea3d80000000 7b69df47e0000000 3736f73a52fcef1a 3736f73a52fcef1a
7b47e34c92000000 7a97bc50b8000000 4544f5a56102935f 4544f5a56102935f
7ab4fc23b0000000 7b075d71da000000 3d591a301326ea23 3d591a301326ea23
7b6c00ab96000000 7b0f38a13a000000 42975dd70db0544f 42975dd70db0544f
7bb89e5cde000000 7b33e3f828000000 441ae9fc1a91629e 441ae9fc1a91629e
7b5b16c996000000 7b4bbacb94000000 404d333f90740954 404d333f90740954
7b9da0b502000000 7b4717752c000000 425579067abea95e 425579067abea95e
*7ab5e6d99c000000 7b2c7e23c0000000 3c37db440b5ebcb3 3c37db440b5ebcb4
7bbd7db6ab000000 7b9b051b33000000 40e3b3d6fd7978ac 40e3b3d6fd7978ac
7af071dea8000000 7b363cd75a000000 3d47116ae725dd6b 3d47116ae725dd6b
*7b7d21ab92000000 7b532c5c24000000 40cb75ab6444b3a2 40cb75ab6444b3a1
7bb15d7d8d000000 7af03a31d0000000 45e81589ecdd43b3 45e81589ecdd43b3
7b849b4945000000 7b5af3ea6e000000 40d859d24483b987 40d859d24483b987
7a3967c108000000 78cb07c380000000 4b4e369f8faa85d5 4b4e369f8faa85d5
7b2e204692000000 7b1114eb5c000000 40ccff6c6fe8e93c 40ccff6c6fe8e93c
7bbf3aab83000000 7b3756d91c000000 442c11425cafdff4 442c11425cafdff4
7bb6046ae6000000 7b2ccf4748000000 44368f73073b64a4 44368f73073b64a4
7b3daf173a000000 79d148e2f0000000 4b40314b4e8aacc8 4b40314b4e8aacc8
7b9ef21953000000 7b9e123720000000 4005aa56d872c35c 4005aa56d872c35c
7b517ff57c000000 7b29ed0872000000 40ee7a899ce48eba 40ee7a899ce48eba
7b68f3b588000000 7b0f2f0cb6000000 4281fd945165ba4b 4281fd945165ba4b
7b441624d0000000 7a1b5fa0b8000000 490c524e3fdc0f2b 490c524e3fdc0f2b
7b4b537af6000000 7b15961a4c000000 416fe0bf0536ad0e 416fe0bf0536ad0e
7b50c4f0a0000000 7b3447307e000000 40a1d554ebcc58a0 40a1d554ebcc58a0
7a13149c08000000 7b14db1572000000 37e79336ff91d0f5 37e79336ff91d0f5
7b5b1f18ae000000 7b7018a1f8000000 3f4d1651e92dde9d 3f4d1651e92dde9d
7a29c4bef8000000 7b2be4094e000000 37e6b6f179937305 37e6b6f179937305
7b245fd278000000 7ba79b2b61000000 3bd8828a88ac7ad1 3bd8828a88ac7ad1
7a817e3d80000000 7bbfbf7593000000 3567137ba5bc82d2 3567137ba5bc82d2
7a7d3be2e8000000 7bb5982740000000 3593f8b0d5bee7c9 3593f8b0d5bee7c9
7a2d8bd1d0000000 7b63aecb32000000 36190aef1afa46d2 36190aef1afa46d2
7b3a66a542000000 7bb611099b000000 3c1860c984dc1e85 3c1860c984dc1e85
*7ac65b6cb0000000 7b79b59dfc000000 3a5ad514718f5745 3a5ad514718f5746
7b575261b6000000 7b0e90aace000000 420a969b7704ba0f 420a969b7704ba0f
7b5d646930000000 7b11b906f8000000 4213bb87bbd9dc1a 4213bb87bbd9dc1a
7b7ab2be04000000 7a81243f10000000 47c3db50720ecc0d 47c3db50720ecc0d
7b0b6ea4f4000000 7b52051fba000000 3d4faa981f1250e3 3d4faa981f1250e3
7b4f22ca56000000 7ad1a61c48000000 43e77396e6c811dd 43e77396e6c811dd
7bb1df1359000000 7b49d5885a000000 430cd9fd949dec42 430cd9fd949dec42
7b29652dae000000 7b6f2ccba6000000 3daa7dfb9feae3c8 3daa7dfb9feae3c8
7b1bdaa814000000 7af10ff008000000 412c183d4bbe8070 412c183d4bbe8070
*7babffece6000000 7b7f98cec6000000 41622aef78cf2bbb 41622aef78cf2bbc
7ba12ec030000000 7b80b283bd000000 41027968bc717cac 41027968bc717cac
7b6ec59a6e000000 7b5e382874000000 404c466600250d70 404c466600250d70
7af3d9fefc000000 7ba362ba1d000000 39f84f7354cd55ef 39f84f7354cd55ef
*7b5dd0f73c000000 7b3c4a7fde000000 40b652cce4348ac9 40b652cce4348aca
7ba4153dda000000 7b4c9691aa000000 426a875b7c37dbcf 426a875b7c37dbcf
7b1a82a854000000 7b42177b32000000 3e5e595c660d2c85 3e5e595c660d2c85
7b89ae02f2000000 7bbc29cfc8000000 3dda87464bc1d421 3dda87464bc1d421
*7bbf30fd89000000 7badc340cc000000 4066b57018485afd 4066b57018485afe
*7ba275189d000000 7b8c7251b3000000 40a07b533d9f114e 40a07b533d9f114f
79ecefe650000000 7bac231b8f000000 31817a7fa8a24ff2 31817a7fa8a24ff2
7b113842f6000000 7b1bfff7dc000000 3f7279f9f2b96062 3f7279f9f2b96062
7b33ccb8b6000000 7b56227430000000 3eb79e4f316de5df 3eb79e4f316de5df
7b34e49b42000000 7b516ab580000000 3ee90cce6e2c209b 3ee90cce6e2c209b
7b973455a7000000 7a8c39bc70000000 48502c74ee279803 48502c74ee279803
7bb6b556af000000 7b62356404000000 42762aba2e597f62 42762aba2e597f62
79e1fa9340000000 7a093d2280000000 3e9620f67ebbf32c 3e9620f67ebbf32c
7b33a01984000000 7a954ffb6c000000 44cfe3af25d0d60e 44cfe3af25d0d60e
7bb436136d000000 7a042b1b88000000 4d74389f1a4d093d 4d74389f1a4d093d
7a337586e8000000 7b04ab7942000000 39692385725be33b 39692385725be33b
7ba1ad07c2000000 7b607d7b40000000 41c2f3bec9227fcb 41c2f3bec9227fcb
7b4f5376fa000000 7b2bc6365e000000 40d3ef67b15fdc9f 40d3ef67b15fdc9f
*7788422200000000 7b7c30d8b4000000 2238bb8ed25da312 2238bb8ed25da313
7a41c6be80000000 7a89c4a34c000000 3da04bfa921c186c 3da04bfa921c186c
7b5cae53f4000000 7b7fc5269a000000 3ee708d4369dc698 3ee708d4369dc698
7b70a88804000000 7b5e369618000000 4054ff9a72f3a6f1 4054ff9a72f3a6f1
7b7bf5ff50000000 7b908d1bd3000000 3ef8e3d160e87af3 3ef8e3d160e87af3
7a0c639ef8000000 7b58a45344000000 352f2732ee62cbb9 352f2732ee62cbb9
7a037d7900000000 7b89e0b7e2000000 33a11e7fd181a813 33a11e7fd181a813
7b36dae95c000000 79e6aaec80000000 4a577e250a6ceb74 4a577e250a6ceb74
*7b9a6dd3b5000000 7b59f3d11c000000 41ab1973d62e697c 41ab1973d62e697d
*7b7579b0d6000000 7aab760b54000000 45ba072136690685 45ba072136690684
7b6db540e0000000 7b2c549a32000000 41847a601c3d0c38 41847a601c3d0c38
7bb948319e000000 7b91487425000000 4119ece0dc1df43c 4119ece0dc1df43c
*7b06486b4e000000 7bb4050a09000000 39f7ac7d6ad0ff0e 39f7ac7d6ad0ff0f
7af097dbe8000000 7ae7fb585c000000 40260307dd3007cf 40260307dd3007cf
79a2f57220000000 7b6adc5130000000 318d02df0ae995de 318d02df0ae995de
*7b168e9478000000 7b1aa71994000000 3fc9c2e612adff6f 3fc9c2e612adff6e
*7aa5ccca84000000 7b876d4137000000 38e5a9052e87b2d0 38e5a9052e87b2cf
796a4c5c20000000 7bb3a289c4000000 2d379b17bec96481 2d379b17bec96481
7b79b6d39e000000 7b25335a3c000000 420bdc0648537e66 420bdc0648537e66
7b80f6168e000000 7ba64e9c71000000 3e341a46da10a195 3e341a46da10a195
7b340ddcaa000000 79848796f0000000 4d6f3397a7d7c727 4d6f3397a7d7c727
7b33e24c6a000000 7b2dc4b048000000 402409fd23ca5d9d 402409fd23ca5d9d
7b35c44d1a000000 7b35ce7986000000 3fff8d65e9ed04c1 3fff8d65e9ed04c1
*7b7a61e92a000000 7ad3a45388000000 44bb70dbb009c214 44bb70dbb009c215
7b465f6c64000000 7b2e443594000000 408da66bfd03459e 408da66bfd03459e
7b8bcb6d07000000 7bbe11dcc0000000 3de2492bc40a184c 3de2492bc40a184c
7ac8255e34000000 798fc619c0000000 49917fc7c848f203 49917fc7c848f203
7b65f5e344000000 7b95390dc0000000 3e2a0a114a115177 3e2a0a114a115177
*7b403cf8ce000000 7b7d8cbd52000000 3e10c46bf675f9de 3e10c46bf675f9df
7b2695d500000000 7a113e9fa0000000 48967493564b7113 48967493564b7113
7b87c2c046000000 7b0c8bb844000000 43ba460b5f1705bb 43ba460b5f1705bb
7a9d8386d0000000 7b4fc2795a000000 3a10b2ee89045925 3a10b2ee89045925
7b0a187598000000 7b75579868000000 3c80c18dc93b588e 3c80c18dc93b588e
7b74122142000000 7b199df624000000 425af555ca324af3 425af555ca324af3
7b01e350ae000000 7ba169f256000000 3a7000c6e1e518ef 3a7000c6e1e518ef
7bb4b037bf000000 7b85fde323000000 4164de1f590f0d39 4164de1f590f0d39
7a60adf450000000 7abae52180000000 3ccf0581b29874a6 3ccf0581b29874a6
7b2599bc6a000000 7b3a0ecdc2000000 3f1ed1dd42bcbd55 3f1ed1dd42bcbd55
*7b2046756c000000 7b877d15f4000000 3cbb5540d21e0468 3cbb5540d21e0469
*7b460a9408000000 7b5871f282000000 3f51dd1ab99f6ee9 3f51dd1ab99f6eea
7ad8d97950000000 7ad748a0e4000000 400772a077c6c3a8 400772a077c6c3a8
7b1280c044000000 7b8659990b000000 3c5ca0d904a994e3 3c5ca0d904a994e3
*7af53cf8b4000000 7bac45aa27000000 39b1b75e857a85dc 39b1b75e857a85dd
*7b65252498000000 7b670b3904000000 3fef2b54cac612db 3fef2b54cac612dc
7b442fa4c0000000 7aef4bc9b8000000 428f0bdc1d55c06a 428f0bdc1d55c06a
7b73be6b1a000000 7b9f67108e000000 3e1dce8c26e97bcb 3e1dce8c26e97bcb
7b5031392a000000 7b58e38fb2000000 3fade18821ab0241 3fade18821ab0241
*7b92ecad10000000 7b1460ddec000000 43ebeed13c5097e7 43ebeed13c5097e8
7aa112e91c000000 7b8ccbe29d000000 389379deb783e099 389379deb783e099
7b532eff08000000 7b905d56dd000000 3dd9f50347b7b263 3dd9f50347b7b263
7b727b54ec000000 7b79085928000000 3fca208377445b5b 3fca208377445b5b
7b351b8ba6000000 7a860992f4000000 456799abdae302a6 456799abdae302a6
*7b89500f31000000 7b084a8aae000000 4407acddf76828c4 4407acddf76828c3
7ac77eee68000000 7b828db9a7000000 3a1cbfeaa6096bd2 3a1cbfeaa6096bd2
7b0152e3d6000000 79c6d816d0000000 4933f947f7c23bed 4933f947f7c23bed
7a90407990000000 7b13f30238000000 3bccd186a18ca887 3bccd186a18ca887
7b21258d88000000 7b2bdfb3fe000000 3f802da6cc822425 3f802da6cc822425
7b190e7586000000 7a09e1c578000000 4870b231d7578c1b 4870b231d7578c1b
7b44bab6d8000000 7b612eb24e000000 3efd3947f94513ba 3efd3947f94513ba
7b366b7396000000 7bb2f02231000000 3c13ecb3c9a234d7 3c13ecb3c9a234d7
7b0d0e664c000000 7a9ef3d238000000 43196b52ca3b609f 43196b52ca3b609f
7b0858b5c0000000 7ba8e48e93000000 3a7557bb8785f20e 3a7557bb8785f20e
7b98544db6000000 7b9f6214ac000000 3fa55c97a536411b 3fa55c97a536411b
7b37a96188000000 7b3db701b8000000 3fbea78fca87c171 3fbea78fca87c171
7b0e3e1274000000 7a80042e90000000 4471cb675fa7081c 4471cb675fa7081c
797801ede0000000 7b3ee6ade0000000 31325228998fc6c6 31325228998fc6c6
7afd8c8140000000 7b47298066000000 3d17a1ae0120ac38 3d17a1ae0120ac38
7bbe4ed7cd000000 7b8682298b000000 41a8cbea1e30a126 41a8cbea1e30a126
7b8395cbd8000000 7b0c1dce78000000 43834d99329197c7 43834d99329197c7
7b4beb00f6000000 7b05f1d850000000 4216f11fed60b459 4216f11fed60b459
7ba9a3a770000000 7a91116120000000 48ad71909acfdbbd 48ad71909acfdbbd
*7b12f62b66000000 7bad397348000000 3ac97fe74326c09c 3ac97fe74326c09d
7b54a67f0a000000 7baf70962f000000 3cd9307349944776 3cd9307349944776
*7b6064bee0000000 7b93f6e6f5000000 3e10eeffaeccc5a2 3e10eeffaeccc5a1
7b2769dcee000000 7bb9ad7524000000 3b368cc922ae22db 3b368cc922ae22db
7b0260b47a000000 7af820b7f0000000 40341d0316ba9532 40341d0316ba9532
*7b523c16a6000000 7ac58ae6b4000000 4441cac9a622ae77 4441cac9a622ae78
*7b23fe29e2000000 7af34be728000000 416471ca8835444f 416471ca88354450
7b56205da2000000 7a197b7970000000 49949aa18eaebcf1 49949aa18eaebcf1
7b75b64f8e000000 7b285c7448000000 41d6761febb64118 41d6761febb64118
*7b092451b8000000 7b19b47970000000 3f234f35f2ea535c 3f234f35f2ea535d
7b910133ef000000 7abe895eb4000000 461698a09f23c2af 461698a09f23c2af
7b401357ce000000 7b8bdc5bb6000000 3d7e4b457e970359 3d7e4b457e970359
7b83d091d2000000 7ba49bd4c3000000 3e67fd4ccd4e47ef 3e67fd4ccd4e47ef
7b316d30dc000000 7b94d1c5c1000000 3cc4d723d98ee0b5 3cc4d723d98ee0b5
7b287c58e0000000 7ae3011154000000 41f00e06d453953d 41f00e06d453953d
7ba0ae2177000000 7b301d7c84000000 434c82a8dd4863b4 434c82a8dd4863b4
*7b3ab83230000000 7b72c973ca000000 3e270cd39abec151 3e270cd39abec150
7b59c10806000000 7bb19a4589000000 3ce7800ba2daeee6 3ce7800ba2daeee6
7bb224fe3b000000 79d8ea57a0000000 4e91f21fca017326 4e91f21fca017326
799a903cb0000000 79f8117530000000 3cfc0b3a745d5e9d 3cfc0b3a745d5e9d
7b86f35f60000000 7b6d130f9e000000 408dca2f6497314b 408dca2f6497314b
7b0236b9b8000000 7bb9185d9b000000 39a0c2a9c74e9084 39a0c2a9c74e9084
790305a920000000 7b1588c150000000 2f0276022b250def 2f0276022b250def
7b1132e9dc000000 79b0b8ca90000000 4a92af87aadf20b5 4a92af87aadf20b5
*7b029bd0ee000000 7b89b4d1cb000000 3b96711c284ab96f 3b96711c284ab970
7b0847d488000000 7b0acc2b80000000 3fdadc922abf418a 3fdadc922abf418a
*7b28f264e6000000 7b8cbd5f33000000 3ccd3b037d825a80 3ccd3b037d825a7f
7b20e344d4000000 7b95c71aea000000 3c4bf4f7d51da2d9 3c4bf4f7d51da2d9
7b967230fe000000 7a24ac6570000000 4b4f0edb6be7b322 4b4f0edb6be7b322
7b365a6154000000 7b55d6c6e2000000 3ed273298ab6fb49 3ed273298ab6fb49
7a854baf84000000 7aae7b4c50000000 3e1c93077ccc501a 3e1c93077ccc501a
7b80b27e5b000000 7adf14737c000000 449d838387dd193d 449d838387dd193d
7b0068bf86000000 7b37bf5e0a000000 3d9736b6bafd8eb9 3d9736b6bafd8eb9
7a8ac20140000000 7b430e9748000000 39b0e31f09f5d9dd 39b0e31f09f5d9dd
7b877e821a000000 7a8d8bfaac000000 47a86d25fd7ef515 47a86d25fd7ef515
7b994c6884000000 7b0f65c3ba000000 4446b3dc71f4436c 4446b3dc71f4436c
7b7e855b62000000 7aeff3a350000000 443e2cab9ca1d751 443e2cab9ca1d751
7aa4e8b604000000 7b86c12fcb000000 38e524a352fcefe1 38e524a352fcefe1
*7b3ebfcf00000000 7b050d2c0a000000 41bc0ed13aac3ba9 41bc0ed13aac3baa
7b1ce82350000000 7b3d452a62000000 3ea1cfc4f65bc3a8 3ea1cfc4f65bc3a8
7b7d06fdb4000000 7b6f5c7e52000000 403a76c0cf4ca7ce 403a76c0cf4ca7ce
7b4ac789f8000000 7b3bc6ccb4000000 4051d0aa19820fb6 4051d0aa19820fb6
7bba34d52f000000 7bb3d7d6a4000000 40243b4067371729 40243b4067371729
7bbc85fb8b000000 7bb8b85409000000 40151579fb2edcad 40151579fb2edcad
7aae185734000000 7ba1e9c087000000 384d0b23f87d960a 384d0b23f87d960a
7b969bba63000000 7b4b75d5f8000000 41ec000507a452d0 41ec000507a452d0
7b2b832e56000000 7b26436bdc000000 40205416051a862c 40205416051a862c
7a7399f828000000 7823d67c00000000 51f28778c81c9bbb 51f28778c81c9bbb
7b6a16ecea000000 7ad43be5a0000000 4469734002b670ee 4469734002b670ee
7b4e052fea000000 7b159a1b40000000 41822c6bf1f909c3 41822c6bf1f909c3
79830af560000000 792ebadf40000000 41fff1fca8ad66dd 41fff1fca8ad66dd
7b18297530000000 7b7a784b96000000 3cdc2c0dd28ab263 3cdc2c0dd28ab263
7bba84d063000000 7acc5d4a34000000 474d29de3cd94934 474d29de3cd94934
7b101266d6000000 7b056aff72000000 4051c52ce6306d11 4051c52ce6306d11
*7bb88d2988000000 7b941dee04000000 40fbe36d283d3e2e 40fbe36d283d3e2d
7bbff1a585000000 7b6623f3d6000000 42ac17b212257d8b 42ac17b212257d8b
7b0e6a8122000000 797f5b1e00000000 4c7633b28802bdd4 4c7633b28802bdd4
7ad71de690000000 7b7f84d432000000 3abc2cc5099cbd7a 3abc2cc5099cbd7a
*7a60c637a0000000 7b6b723e52000000 37a32bcf8d49d70f 37a32bcf8d49d710
7b65a8c808000000 7b469c0f0c000000 40a0165e40530be7 40a0165e40530be7
7b7b67f034000000 7ba89bdda9000000 3df6d894caa46383 3df6d894caa46383
7b4620e33e000000 7a4e65f870000000 47adf11dc1577ef5 47adf11dc1577ef5
7a72a7e690000000 7b2bc9ab48000000 39a66f00803a09f0 39a66f00803a09f0
7b7a358d28000000 7a6047a760000000 487662ce1490ecb2 487662ce1490ecb2
7b7d01669a000000 7b40567068000000 4142fe6200c208e5 4142fe6200c208e5
7bb5d5b3fb000000 7a66ad80f8000000 4a4e5cedeaacff30 4a4e5cedeaacff30
7bb6100dd8000000 7acbc1ea3c000000 4725f13aad3cd024 4725f13aad3cd024
7bb8dea50c000000 7bb490c125000000 401868e6aa26b9be 401868e6aa26b9be
7a18dd9618000000 7b5d68b20e000000 3585fbd9be16b583 3585fbd9be16b583
7b22cce288000000 7b12578136000000 40732a5ac1ca79ad 40732a5ac1ca79ad
7a86934e58000000 79a4516500000000 468d4cbae3453d8b 468d4cbae3453d8b
7b7b790380000000 7bb4c0865a000000 3d90a5e643b68f32 3d90a5e643b68f32
7b71f2deae000000 7b1e45e60a000000 421d5e1641556b99 421d5e1641556b99
7b7bd88dea000000 7b9a9e42ee000000 3e83ea8d0316bda0 3e83ea8d0316bda0
*7b32d012aa000000 7b7751916c000000 3dc8b73b4b6e1e7d 3dc8b73b4b6e1e7e
7b1ebd9290000000 7b24c2f15a000000 3fb528a17df0c68f 3fb528a17df0c68f
*7b15977776000000 7b1a96207a000000 3fbdd3fcc5b2c44a 3fbdd3fcc5b2c449
7b59ff7736000000 7a90cf1440000000 46058c446b41880c 46058c446b41880c
7b11e7b1e6000000 7bbc5e84e3000000 3a325185319f1191 3a325185319f1191
7b6d2a7b7a000000 7a1dfca570000000 4a0133f52b72ebcb 4a0133f52b72ebcb
799a995200000000 7b4729f2b0000000 3235bd31b1d8b941 3235bd31b1d8b941
7bb7f359bf000000 7b253adc28000000 447405197d3ad7c3 447405197d3ad7c3
7a7f9bf1d8000000 7aba225df0000000 3d7e35adc233f8a2 3d7e35adc233f8a2
7b4cba0584000000 7ba99d135c000000 3cd3fc896b3e85c6 3cd3fc896b3e85c6
7b921d90d5000000 7a7282e408000000 48d1f0ca50a88be7 48d1f0ca50a88be7
7b787502e0000000 7ac8443c40000000 44f668395fa7cb18 44f668395fa7cb18
7b19b1e7fc000000 7b452f0864000000 3e3c508825973e37 3e3c508825973e37
7b375c44d8000000 7b3ded09a6000000 3fb934042a4a75ad 3fb934042a4a75ad
*7b80e7e0b4000000 7b2fd147b8000000 41dd8d2b76ac0672 41dd8d2b76ac0671
7a083c9f18000000 7b1b81a964000000 3702388297fb4223 3702388297fb4223
7bba80280f000000 7b596b6ca0000000 42dcc18eeb834c05 42dcc18eeb834c05
7bacb75985000000 7bbb6808c3000000 3f5f76c6eb234c61 3f5f76c6eb234c61
7b093cb458000000 7af6fbb5a0000000 4071fa83ea75e208 4071fa83ea75e208
7b8928dd75000000 7b7e3d0476000000 4050e155609bfff7 4050e155609bfff7
7aa9d28ee0000000 7bb5e036fa000000 3778462e91ab642d 3778462e91ab642d
7aea1a2bf8000000 7b5e25fbca000000 3c371a08f2f89482 3c371a08f2f89482
*7b673e48c4000000 7b075ed0e6000000 42d53965a5dfa491 42d53965a5dfa492
7b5c630040000000 7b9e13c81b000000 3d93a1850099f18b 3d93a1850099f18b
7b731f3eda000000 7ba8b80b1f000000 3dc392891daaba87 3dc392891daaba87
7b8d26c600000000 7b5a5d87a0000000 412bd3fe67cd13ae 412bd3fe67cd13ae
7b58cee724000000 7b76b08c40000000 3f07ed2fd5c54854 3f07ed2fd5c54854
7b8b428beb000000 7b4bee2600000000 417689e8b7147dd2 417689e8b7147dd2
7a904144fc000000 7b986951eb000000 379266355b98cc68 379266355b98cc68
7b264bada0000000 7b9077c4d2000000 3c9ab78fe4b8c542 3c9ab78fe4b8c542
*7a1e0cc058000000 7b3cd0c576000000 36b24c39e7a389c7 36b24c39e7a389c8
7b6cddafa4000000 7bb7d1e94b000000 3d27806aae1962b6 3d27806aae1962b6
7b6da3694c000000 7b13295d44000000 42759159a83e7a02 42759159a83e7a02
7b10935c3a000000 79a934cb10000000 4ad5e1ba37c341dd 4ad5e1ba37c341dd
7ba7fd115d000000 7bbeb885ef000000 3f0be576dd4cbf2f 3f0be576dd4cbf2f
7b04ca6bf8000000 7b9ecec603000000 3ab07b712926c044 3ab07b712926c044
7b884d3491000000 79aaee4190000000 4e6117b5151b33f1 4e6117b5151b33f1
7aa5884ad0000000 7bb04a45ee000000 3783066aaf72468a 3783066aaf72468a
7b12ced410000000 7b578e9162000000 3d72d160bbe33af2 3d72d160bbe33af2
7b1e3217e2000000 7b23693d32000000 3fbea2df85eeb700 3fbea2df85eeb700
*7b6cec5994000000 7b70f63d4a000000 3fddacb1b0070767 3fddacb1b0070766
787f724380000000 7bbfdd96d3000000 255355bf56f97896 255355bf56f97896
7b4884ceac000000 7b222fe0f0000000 40f203dfb9e90c53 40f203dfb9e90c53
7a0c91ab60000000 7b35712842000000 3632a6ed5669b48b 3632a6ed5669b48b
7b13261e3c000000 7b939119f4000000 3bfa333ca2463c38 3bfa333ca2463c38
7b9a962af4000000 7ab755d9d0000000 46beda8e680f9c8b 46beda8e680f9c8b
7b495214d8000000 7ab0a18180000000 448f22e8bf38bb0a 448f22e8bf38bb0a
7988e0a950000000 7ab8f06628000000 35ebc56a83ae47a8 35ebc56a83ae47a8
*7afee5e950000000 7a8c90d624000000 4340e4b5a3af6828 4340e4b5a3af6827
7b38231ffe000000 7ba46284c1000000 3c7b0b0acd202074 3c7b0b0acd202074
7b1e992bd4000000 7b493f3528000000 3e4dfc03274f57ae 3e4dfc03274f57ae
7b253d3c96000000 79f06103e0000000 497fd1788ab2edfa 497fd1788ab2edfa
7b87c3d01e000000 7abac0b928000000 45d0d8ff1d3411de 45d0d8ff1d3411de
7acda253fc000000 7b2e20cc10000000 3cb94730b2e904cc 3cb94730b2e904cc
7b934fc8df000000 7b8607334b000000 40657d19e67824b5 40657d19e67824b5
7b4c2cec8c000000 7b9b1398fd000000 3d4435a7a3fd22f6 3d4435a7a3fd22f6
*7ad2dd8654000000 7b997f0b46000000 397eb80a257e8f9c 397eb80a257e8f9b
7b6447fe0a000000 7b100e54e8000000 4256b3c7580fbbb7 4256b3c7580fbbb7
7b9f863e91000000 7b3074ea96000000 433b7abaa37c3b83 433b7abaa37c3b83
*7b463586e2000000 7b287b404c000000 40b4ade3ae77d119 40b4ade3ae77d11a
7b63730122000000 7b2a7d84ec000000 41561b69275dccbe 41561b69275dccbe
7b9c44ca9b000000 7a09fdf910000000 4c87a02e8f560a8a 4c87a02e8f560a8a
7bad7937c2000000 7b7ebf1c18000000 41729ddcf60d8f60 41729ddcf60d8f60
*7b4afabe92000000 7a7995c298000000 4681929a66ea7512 4681929a66ea7513
7b293ca106000000 7b81c229e4000000 3d378c0ac458d1ad 3d378c0ac458d1ad
7bb0727776000000 7b82178845000000 416ce0e1274d47dc 416ce0e1274d47dc
7810dbf800000000 7b95efd6bf000000 23baa4f7bfa6792e 23baa4f7bfa6792e
7a85290260000000 7b2b8010e6000000 3a3627cd4ca94988 3a3627cd4ca94988
7b97b200a3000000 7b2379701c000000 436c6ec0628f2146 436c6ec0628f2146
7b2faf2170000000 7a469dc498000000 471389dcd2005f84 471389dcd2005f84
7b4f591d9a000000 7b7243a2a2000000 3ed8d58068cd70d2 3ed8d58068cd70d2
*7b5d27820e000000 7b7ebd1ee0000000 3ef1fe8e2dd0682c 3ef1fe8e2dd0682d
7b15bd12be000000 7b0cd6a37e000000 4040b5e9566ee385 4040b5e9566ee385
7b98324804000000 7bb28b182d000000 3ed1c8eaaaf5b9f6 3ed1c8eaaaf5b9f6
7afe348c40000000 7b86c6090b000000 3b8b6ef65ae0110a 3b8b6ef65ae0110a
7ac7a69e74000000 7b8a6bac70000000 39c4f736f46d0053 39c4f736f46d0053
7b1a62b594000000 7b1437df42000000 402a9bc2de363bbf 402a9bc2de363bbf
7bbcf6c49d000000 7b8cbe7ddb000000 415ed435226bd567 415ed435226bd567
7b21c3f158000000 7b5dc0d874000000 3dd5fb81a77d21b0 3dd5fb81a77d21b0
7b972a2a4b000000 7b9e135377000000 3fa6770b4fb0bd39 3fa6770b4fb0bd39
7bb8fc5bdc000000 7b2841ddd0000000 4465cee53f2f2979 4465cee53f2f2979
7baad1d152000000 799de54880000000 5053d0d38e0d12e0 5053d0d38e0d12e0
7b0602b644000000 7b03f7f73a000000 400fd8353f5ec610 400fd8353f5ec610
7a9fc69ffc000000 7b77fb6dfc000000 392788d54e708814 392788d54e708814
7b961cea85000000 7b2586f2a2000000 4341495ab0accb7b 4341495ab0accb7b
7b85dc0b87000000 7b323c8b4e000000 420217dfdd623608 420217dfdd623608
7a25fba770000000 7ab736ce18000000 3b3f635c1fb7111b 3b3f635c1fb7111b
7b2a37f94c000000 7aab717dcc000000 43f15ed513e8f204 43f15ed513e8f204
7b80912cd8000000 7b35f0105a000000 41a73a5cc6d5f535 41a73a5cc6d5f535
7b83faa51b000000 7a2a850e30000000 4a311d1068eca301 4a311d1068eca301
798c5bbb30000000 7b322d4382000000 324d4e8621f60116 324d4e8621f60116
7b005a0274000000 79956e88b0000000 4adf174719455ae1 4adf174719455ae1
*7bb40ea9ee000000 7b084f4caa000000 4548a4ff7c1cc4ed 4548a4ff7c1cc4ee
7b9ea78a52000000 7af3519684000000 453762bede7d354f 453762bede7d354f
7b9d3e4816000000 7b3da91718000000 42a1f37590077e18 42a1f37590077e18
7b0c569c5a000000 7b2299e408000000 3ee798364d07a7b3 3ee798364d07a7b3
7ba2fc31e1000000 7b49a5b0fe000000 4277558dec0316d8 4277558dec0316d8
*79e2157a50000000 7b0074f3ee000000 370a3d209364ec26 370a3d209364ec27
7b074ec816000000 7b28994ba4000000 3e6b9b7ea755bf60 3e6b9b7ea755bf60
7b91876bfb000000 7b4d472bd8000000 41abe6af160b0960 41abe6af160b0960
7ae47df944000000 7a7d461d00000000 43379c99ce9ff3b6 43379c99ce9ff3b6
7ba6de0fe3000000 7b798dc4b8000000 41596c16e082b6ab 41596c16e082b6ab
7b67ead2e6000000 7bb8657bde000000 3d07e5364506d924 3d07e5364506d924
7b46d4f090000000 7ab4539f10000000 4469154294d29585 4469154294d29585
7b301c7efc000000 79a48882b0000000 4c480edb54e90cee 4c480edb54e90cee
7ba9dbca21000000 7b180751e2000000 447818540d71c926 447818540d71c926
7b055c0812000000 79d4642690000000 4905ee1a61d47380 4905ee1a61d47380
7b7231216c000000 7b9abc4388000000 3e42c3e3cac4d564 3e42c3e3cac4d564
7b978eca95000000 7ba2f45ad7000000 3f70c448302c0712 3f70c448302c0712
7b4d7fd8f2000000 7b34799d3c000000 408dfc6b228844b3 408dfc6b228844b3
7ac0ea7500000000 7b3fb0fa5e000000 3c068a949643f53f 3c068a949643f53f
7b69f2244c000000 7b0f92cfaa000000 42848ea5467c4da0 42848ea5467c4da0
78b3360180000000 7b3771fd40000000 2bd0ba4f1349ce77 2bd0ba4f1349ce77
7ba2063674000000 7bb3077547000000 3f3d79240dbab517 3f3d79240dbab517
7aee45ef3c000000 7a37fa44d0000000 452e3413070f06fb 452e3413070f06fb
7b75a1ba38000000 7bbe5e53d6000000 3d294375c6963ca0 3d294375c6963ca0
7b65708e74000000 7a66b89c80000000 47f4a003f891b654 47f4a003f891b654
7b62cb923a000000 7bae49c30a000000 3d347f0b0477b7f3 3d347f0b0477b7f3
*7bb3d65c2b000000 7b586d4c72000000 42a5c2bf1d9fe97e 42a5c2bf1d9fe97f
7b59502dc0000000 7a9a3a8d94000000 45a2d94585b4df10 45a2d94585b4df10
7b890db9ca000000 7b3c1bbffa000000 41d42635865c0682 41d42635865c0682
7b29b0ccde000000 7bbce415f5000000 3b2fd352f679c810 3b2fd352f679c810
7b14890c6c000000 7b0300fa9e000000 4089094a6264e2b1 4089094a6264e2b1
7b46e572b4000000 7b26a48000000000 40c63205a8a849cf 40c63205a8a849cf
7a7c72ea60000000 7bb84b1fca000000 357ab222f6c6d3dd 357ab222f6c6d3dd
7a01b2afa8000000 7b53a5c706000000 34e70495f586c30e 34e70495f586c30e
7b73973a32000000 7b67521ea0000000 403650e89495262e 403650e89495262e
7af4948e0c000000 7b9959fa66000000 3a612d80b68a64f6 3a612d80b68a64f6
7babf42f1a000000 7b1ab6f2f2000000 447219992cf46f41 447219992cf46f41
7b832cdde9000000 7a96ff30cc000000 46f327f143493c0a 46f327f143493c0a
*7b02091192000000 7b00a402d8000000 400b1a3bf40a1e0d 400b1a3bf40a1e0e
7afc671a64000000 7b59f16fc6000000 3ca1e85e67fcfd52 3ca1e85e67fcfd52
79dad7ae50000000 7b048d4904000000 369a9e685b070abb 369a9e685b070abb
*7a15c420b0000000 7b1d64075c000000 379cc9784ce31863 379cc9784ce31862
7b8298a5ef000000 7b23a49560000000 42626b7104f49eaa 42626b7104f49eaa
7aeeaaee44000000 7a023106a0000000 475533a49f9063e8 475533a49f9063e8
7a20c77990000000 7b1cc67f50000000 381a26c834176b74 381a26c834176b74
7b3df04906000000 7a358ca908000000 482f5153cd1699a5 482f5153cd1699a5
7ba0358a58000000 7a551700a0000000 4a03c3ded1e19f35 4a03c3ded1e19f35
7ba6f7b5f6000000 7ad139e628000000 46625ab2fde81790 46625ab2fde81790
7b520c3f78000000 7b85efda79000000 3e45e5c9d55eeb61 3e45e5c9d55eeb61
7b16001d58000000 7993baa140000000 4c0fbea02444678b 4c0fbea02444678b
7a824aea34000000 7b63ef8944000000 3892ad98135ab633 3892ad98135ab633
7bbd8a239f000000 7b8998da4a000000 41828ea656001b52 41828ea656001b52
*7b6fcf3e36000000 7b888a324b000000 3f067c6a42dbc648 3f067c6a42dbc649
7a16a422f0000000 7a43d2cd40000000 3e27772eb996619a 3e27772eb996619a
7b7503edda000000 7b20bd4ffa000000 4218e24dea24f235 4218e24dea24f235
7a884ccfc8000000 7b64d32c10000000 38c3e54a7045fe29 38c3e54a7045fe29
7b31d1b490000000 7bb4e7b851000000 3bdd105cf3cb6a66 3bdd105cf3cb6a66
79ae3efb00000000 7b26d5a26a000000 342d7d90f9f41e5b 342d7d90f9f41e5b
7928cc09c0000000 7bacf723a3000000 2bcea4f7f9791695 2bcea4f7f9791695
7b0ba8ce7a000000 7b3c5e752e000000 3dee6a7a203569d6 3dee6a7a203569d6
7b43bdb7e8000000 7b2170addc000000 40d99152721707e8 40d99152721707e8
7ac6682f30000000 7b4e4a7884000000 3bb1bb0034fdb791 3bb1bb0034fdb791
7b7b5ef522000000 7b6edce614000000 40359f28119fa579 40359f28119fa579
7b0aa8edb2000000 7b3f1cad0a000000 3dcde931298a3825 3dcde931298a3825
*7b104d93f0000000 7b6ddd054c000000 3cda726f42d2d01f 3cda726f42d2d01e
7b0d67258e000000 7b0bac8912000000 400cacf12efcaf31 400cacf12efcaf31
7b5cb9eb60000000 7b8c0809a1000000 3e4e17c5920f9d7c 3e4e17c5920f9d7c
7ba5649b0e000000 7bb683bfa8000000 3f3fe0ad14eeb65b 3f3fe0ad14eeb65b
7b82f68c47000000 7b58305baa000000 40d8a396e59037e2 40d8a396e59037e2
7b78b40862000000 7b62a703ee000000 40639fcd11d204af 40639fcd11d204af
7b70406eec000000 7ba1be9f3f000000 3df10699a4a82331 3df10699a4a82331
7ba7d7419f000000 7b762d877a000000 41744cb7bb21fb9d 41744cb7bb21fb9d
79dd6cd140000000 7ba43145d0000000 3164ef93993badd1 3164ef93993badd1
7b58d48b68000000 7b85f7048b000000 3e7967cc276c95b0 3e7967cc276c95b0
7b0bdfca1e000000 7a220c3a98000000 46e7c3bf73767f63 46e7c3bf73767f63
7806e42400000000 7b278d6446000000 2670c806274d0a04 2670c806274d0a04
7ae1cb348c000000 7b5af01bfa000000 3c2010cd887c6d7e 3c2010cd887c6d7e
7b337b6d5c000000 7b7cc56464000000 3dae32ef26a077e1 3dae32ef26a077e1
785ccaa800000000 7b3596fdee000000 28dd0ff1620048f0 28dd0ff1620048f0
7b2452c8ac000000 7bba2c6274000000 3b0fa39a3efffd3c 3b0fa39a3efffd3c
7b108719e8000000 7aaf9c6c10000000 429580a004376b72 429580a004376b72
7ae23c5298000000 7b13fa4488000000 3e1d8afa02292fb2 3e1d8afa02292fb2
7956533f60000000 79ab878fc0000000 3cff7b71b15edffe 3cff7b71b15edffe
7905309700000000 7b1dec4dde000000 2ebf402cc7d9e972 2ebf402cc7d9e972
7bb69f9401000000 7bbcb8995f000000 3fbdd3cf9d9b4860 3fbdd3cf9d9b4860
7b98f34934000000 7af548b7f0000000 44fd0f2eca713f49 44fd0f2eca713f49
7b0ee224b6000000 7a68e66f60000000 44e870bfbd70f8c8 44e870bfbd70f8c8
7b8c4854ec000000 7b7c214cb8000000 40737c605d1a6853 40737c605d1a6853
7b33aace96000000 7ba53b9e20000000 3c59743f4cc623bf 3c59743f4cc623bf
*7bbb62d459000000 7ba14679a7000000 40a5c995459228fc 40a5c995459228fb
7b04b0d818000000 7b0f56528a000000 3f67e266cdc68803 3f67e266cdc68803
7a7ab90018000000 7b9c2dd358000000 366be207698ae5d2 366be207698ae5d2
7b59cd8eca000000 7b3573e8b8000000 40cd22f4d299510d 40cd22f4d299510d
7bbd744cff000000 7abcfccdc4000000 48028779e9a03d10 48028779e9a03d10
7a89947684000000 7b3996da04000000 39ee3612ad5f04b3 39ee3612ad5f04b3
7b16da0d92000000 79f4be5060000000 48ee51d582b834d8 48ee51d582b834d8
7bb785615f000000 798e153c80000000 512aa580980bf724 512aa580980bf724
7bbe8b1878000000 7b33d4fe00000000 443cfe1838f71f39 443cfe1838f71f39
7b4b598196000000 799f81f410000000 4d197477441c8941 4d197477441c8941
*7b526cc80e000000 7b9d32222a000000 3d5abe44b0cc08cd 3d5abe44b0cc08ce
7b92d9730a000000 7ba7c17c7f000000 3f00c526118325f4 3f00c526118325f4
7b6e394254000000 7b710c67aa000000 3fe7fffef88d0782 3fe7fffef88d0782
7ac6e66f00000000 7b40a60a62000000 3c213ab16a974fbd 3c213ab16a974fbd
*7b95b855ff000000 7b09261d94000000 445ddc5272fe8964 445ddc5272fe8963
7981481b00000000 79cd4f7290000000 3d099a61b730d885 3d099a61b730d885
7af4650a7c000000 7bb9ce1d71000000 3942e55518ee1bb1 3942e55518ee1bb1
7b5a4ff8b6000000 7b25a3313c000000 4145a5476f060ca7 4145a5476f060ca7
7b7cc25876000000 7b6a78fc16000000 404fdcaa08fd3459 404fdcaa08fd3459
7b3f4d1f90000000 7bbb7a6edb000000 3c14e192df70da71 3c14e192df70da71
7abc2a6df0000000 7b199d1846000000 3ce653a82d3d4344 3ce653a82d3d4344
79e4c07790000000 7bad6bc7b8000000 3146b64d61d7ee59 3146b64d61d7ee59
7b0416145c000000 7b5be52e82000000 3cce304d5baa2b47 3cce304d5baa2b47
*7b51cc6d26000000 7b622b4b56000000 3f6bc2f9a0904f22 3f6bc2f9a0904f23
7aeb048d90000000 7b6e647c18000000 3be30212479bf1ad 3be30212479bf1ad
7b9e816d63000000 7b79985b26000000 4114957998ca6749 4114957998ca6749
*7b4a49aa9c000000 7b8767a3f6000000 3df9cd6df65a0080 3df9cd6df65a0081
7b5bc3a67c000000 7a7f2fc590000000 46e3b7cbd0de4b1b 46e3b7cbd0de4b1b
7b7d33c404000000 7b8c6340a1000000 3f36e0f2dc08a297 3f36e0f2dc08a297
7a65913228000000 7b477d6ea0000000 389a6394f31eefb5 389a6394f31eefb5
7b93cae497000000 79a93f9830000000 4efc5f4151defd5f 4efc5f4151defd5f
7b83a4b003000000 7a132634c8000000 4b2830dd518ae935 4b2830dd518ae935
7a37b9d120000000 7a815b5920000000 3dae63d1b8752bac 3dae63d1b8752bac
7b6c46fbd4000000 7aab087aec000000 4586a04c6d73d8b8 4586a04c6d73d8b8
7baaeacfcc000000 7b73905bda000000 419d271099c234a4 419d271099c234a4
7bbd26e555000000 7b03c413e0000000 45bdf795c8bea25e 45bdf795c8bea25e
7a50f821a8000000 7bb34a633f000000 34a981bed0bcb273 34a981bed0bcb273
7b59485158000000 7b0a13a802000000 424b6709a363b2a5 424b6709a363b2a5
7bad12912c000000 7ba9cb0e01000000 4013c6fcaab14ee3 4013c6fcaab14ee3
7b86ebddf2000000 7b0e632ac2000000 43949d33a35e253e 43949d33a35e253e
7b3a2ae280000000 7b67200d3c000000 3e71a14ade8d620e 3e71a14ade8d620e
7b8c3b6963000000 7b8a28026c000000 400f62b2a1122d87 400f62b2a1122d87
*7b9d5b149f000000 7b93274755000000 4046fece76f3d501 4046fece76f3d500
7b22b32f9a000000 7b74e10bbe000000 3d50b5d48d15f135 3d50b5d48d15f135
7b86b74df3000000 7b3b2a0260000000 41c21960dc9337db 41c21960dc9337db
7b8498884b000000 7ba4126292000000 3e771b2742fe1601 3e771b2742fe1601
7b6178910a000000 7b2be44030000000 413f2f6c0029dcb5 413f2f6c0029dcb5
7b9e82e871000000 7b6ee72cf0000000 414ed754a776d26e 414ed754a776d26e
7bb3872149000000 7ba31b70bc000000 40671719b55c2113 40671719b55c2113
7a5c2fc850000000 7a910da738000000 3e1266ce07da696e 3e1266ce07da696e
7b721b21a8000000 7ae82385ec000000 442bf78a08d18618 442bf78a08d18618
7b9bb70047000000 7ab252c874000000 46fc58bff89017f9 46fc58bff89017f9
7b3a48a46e000000 7adcf3e544000000 42bea7b56b34d5d4 42bea7b56b34d5d4
7b21b037d8000000 7b2c63c618000000 3f80ddebfd0ba1bb 3f80ddebfd0ba1bb
7b628bb59a000000 7b591e3866000000 402c76ce9007c8e2 402c76ce9007c8e2
78b1a54a40000000 7b1cd45a08000000 2c87eaaf24711714 2c87eaaf24711714
*7a8f305610000000 7b273d622a000000 3ad97979606abdb0 3ad97979606abdb1
7a92704040000000 7a288f8288000000 42f337c712b08348 42f337c712b08348
7b005b9a90000000 7ba762a53a000000 3a227d30fc8a8eb3 3a227d30fc8a8eb3
7b46f83aac000000 7b47f3c59a000000 3ff5ef9665880e78 3ff5ef9665880e78
7b7602ac9e000000 798182d660000000 4f991eea03c63083 4f991eea03c63083
7b7217a63c000000 7bbb2f2398000000 3d2c60d438be6452 3d2c60d438be6452
7abdeb4a80000000 7b390fe0e8000000 3c1ae0263be16b96 3c1ae0263be16b96
7b9f290665000000 7baa7c28f0000000 3f77f54f3177ffda 3f77f54f3177ffda
7b49403bb6000000 7a41a6cc18000000 48282f2b911a3969 48282f2b911a3969
7b4b569910000000 7a20d783d8000000 490e8db40b330a63 490e8db40b330a63
7b697993f0000000 7b84d452ed000000 3f07e3e0f90368ff 3f07e3e0f90368ff
7afa5c65ac000000 7b32b9cfa6000000 3d9a6df13be0e705 3d9a6df13be0e705
7a68496380000000 7a910997cc000000 3e68002d197c39aa 3e68002d197c39aa
7b5aefb09c000000 7a0e2fb340000000 4a28bd3cb31d804e 4a28bd3cb31d804e
7aa45ae380000000 7bac0ef1ba000000 37a44ebf32a6ba77 37a44ebf32a6ba77
7b5645bc76000000 7b0c3fcaa2000000 421c770b5f8d2053 421c770b5f8d2053
7b20a2af5a000000 7b31356d14000000 3f4076a98e39fbf0 3f4076a98e39fbf0
7b2fcbb772000000 7b72d0211c000000 3dcabeae672f6af2 3dcabeae672f6af2
7b84a9a844000000 7b061173ea000000 43ea87d50a6dbf9c 43ea87d50a6dbf9c
7bbf87f5df000000 7b29f5ffe2000000 4481f556bba8c7eb 4481f556bba8c7eb
7b9ba3707f000000 7a3b6e8cc0000000 4aa49ae11614e36f 4aa49ae11614e36f
*7b1cc620fe000000 7ba04d18c3000000 3bd2efb9c191377d 3bd2efb9c191377e
7b34ed171a000000 79deb065e0000000 4a7feb7684420ea6 4a7feb7684420ea6
7b6a903168000000 7b6c33f818000000 3ff1c853ed11046e 3ff1c853ed11046e
*7ba558d7f7000000 7b07565268000000 44e310292d07cf1f 44e310292d07cf20
7a3338a678000000 7b7f9ec708000000 359be685a216f79e 359be685a216f79e
7b232c5f24000000 7b8baf2d84000000 3cac31a028877724 3cac31a028877724
*7b6bd2bf22000000 7b6dde0f12000000 3fee665db8abed18 3fee665db8abed17
7b1eb4ad70000000 79c5074600000000 4a71a8596dd5d2ac 4a71a8596dd5d2ac
7b6d7cd61c000000 7a83c21928000000 4735b59d305f0168 4735b59d305f0168
7a3ffd0f20000000 7b594f953e000000 37115ad5b6d493bc 37115ad5b6d493bc
7b97df8dd4000000 7b4eb3f138000000 41e0c04d6a76d1b8 41e0c04d6a76d1b8
7b71f07dfe000000 7b8e9df8e2000000 3ec924159f0869ce 3ec924159f0869ce
7b884a7ee7000000 7b90f7e0e4000000 3f856a046e775241 3f856a046e775241
7b768b8702000000 7ba02a0cbb000000 3e28451d4a764788 3e28451d4a764788
7b70a3b300000000 7b687c0500000000 4023eb62852a9050 4023eb62852a9050
7baec8059d000000 7738b0ce00000000 603c5fd20be31f6a 603c5fd20be31f6a
7926bc6c80000000 7baa0dc91e000000 2bd80aedc6d136d4 2bd80aedc6d136d4
*7a83199488000000 7b7b0f79ca000000 382d6f29c8112635 382d6f29c8112636
7a725e5cf0000000 7b1f1cd57e000000 3a17cf528a1200d9 3a17cf528a1200d9
7b7c482a98000000 7ba381af03000000 3e2bfa2aafd79aef 3e2bfa2aafd79aef
*7b733867ba000000 7b9eea7a6f000000 3e1f3a918dc6b831 3e1f3a918dc6b832
7ba1096be8000000 7b97e7ff7c000000 403d8c92827a779f 403d8c92827a779f
7b5cf1ca5c000000 7a796c09a0000000 47162be779431974 47162be779431974
7bbb69ae7f000000 7b502a3218000000 4333d5964fb596d4 4333d5964fb596d4
7af85fee8c000000 7b38e634ce000000 3d5f8970a9b7b49e 3d5f8970a9b7b49e
*7b7ffa3110000000 7bac90e0d2000000 3deef5ca7896e47e 3deef5ca7896e47d
*7b77413736000000 7bbb66c707000000 3d470cf00083415b 3d470cf00083415c
7b94a5f9de000000 7bb9b8973f000000 3e67303ca573764f 3e67303ca573764f
7b97d9e16e000000 7b94a31266000000 4016248c8fc1c458 4016248c8fc1c458
7b4c92f022000000 7a1bd3e848000000 4940542d3717073b 4940542d3717073b
7b2013b2da000000 7b75dee3de000000 3d355fc885a23752 3d355fc885a23752
7b1a662890000000 7b4fc775b6000000 3df1da51a92ef017 3df1da51a92ef017
7b8f928455000000 7acdf23164000000 4593bbd29b2a02e5 4593bbd29b2a02e5
7b76bc6fc8000000 7b3f38bb84000000 4129484a615b451d 4129484a615b451d
7ab9aff920000000 7b1122985a000000 3d1e1dbe71cd6c0a 3d1e1dbe71cd6c0a
7b0f00313a000000 7af7fa0a74000000 409d05313fcb949b 409d05313fcb949b
*7b781bb10c000000 7b05bca102000000 436bb8c3bef4de6a 436bb8c3bef4de6b
*7a6cd702f8000000 7baa79d6cf000000 358ea1151b2a90ba 358ea1151b2a90b9
79b6f9cae0000000 7b4a35f1f8000000 333d2ff15fd58603 333d2ff15fd58603
*7b50f0b2d8000000 7b877d7535000000 3e2b1e2ec0618faa 3e2b1e2ec0618fa9
7ba7f9497e000000 7b861339cc000000 4102e6c8fb015d55 4102e6c8fb015d55
7bb1f74c04000000 7acda398b0000000 46ec660f02e5df07 46ec660f02e5df07
7b565c6590000000 7a537d2b80000000 480de7dda1392ab2 480de7dda1392ab2
7aeb996d84000000 7a193be230000000 46266a1f04f5400f 46266a1f04f5400f
*7a820b7cf0000000 7b59bb4eca000000 38c7362b04946a77 38c7362b04946a78
7b0d20c4e6000000 7b8bb11205000000 3c0a8763c1efe38e 3c0a8763c1efe38e
7b874d4cd6000000 783b5eea00000000 59c6e0a4d87afa7b 59c6e0a4d87afa7b
7a76c47258000000 7a9f40b048000000 3e32b8e57dfabb07 3e32b8e57dfabb07
7b5ca8ca74000000 7b4ad1e17c000000 405a117c894ac1e0 405a117c894ac1e0
7ace04f85c000000 7b6b436420000000 3b016da43792a1a6 3b016da43792a1a6
7b4dbf5d26000000 7b24b398c6000000 40ff3201efba9d28 40ff3201efba9d28
7a6b8ef110000000 7b2a68279a000000 3987814c6d892694 3987814c6d892694
7adf0af484000000 7b21e63874000000 3d82ba4883c28b2f 3d82ba4883c28b2f
7b15ab8bba000000 7a75135da0000000 44e2bbd27ee37ff5 44e2bbd27ee37ff5
7ba34ce89d000000 7b508f47fe000000 424390f137690829 424390f137690829
7b67acff02000000 7b361f4b7c000000 41169e9622530ce4 41169e9622530ce4
7ae4eb00e4000000 7afab11578000000 3f4e1f218df43b26 3f4e1f218df43b26
7b736422e6000000 7b390f51ac000000 4142c46f49fc80b9 4142c46f49fc80b9
7ba6f3e95e000000 7b5b1121e8000000 4218cc609b324aef 4218cc609b324aef
*7bb7974e95000000 7b405d532e000000 43a297e086a2abe0 43a297e086a2abe1
7bac34d653000000 7bb1496008000000 3fc5504be04e35d2 3fc5504be04e35d2
7bbcb6526e000000 7b26517f62000000 4489e03d1e48e06b 4489e03d1e48e06b
7a768f87e0000000 7b689b4206000000 383d6e29c7928d10 383d6e29c7928d10
7acd5da520000000 7b160d8e9e000000 3d79781595091fa8 3d79781595091fa8
7ba597010b000000 7b601b776c000000 41e93d57f45ad0d5 41e93d57f45ad0d5
*7a717c3800000000 7b08d1e40e000000 3b0f589402b31186 3b0f589402b31185
7b48b6b972000000 7b230de092000000 40ec81ad758aad0c 40ec81ad758aad0c
7b8f6fb957000000 799f25dc40000000 4f35d100bdb5719b 4f35d100bdb5719b
7b032957fe000000 7ab67d015c000000 41bffa58731e3578 41bffa58731e3578
*7b1cb69f98000000 7ba5f008b9000000 3b8e27807d0503f0 3b8e27807d0503f1
*7b7e4c6140000000 7b3b961246000000 416c2b77b5039b48 416c2b77b5039b47
7abf8999f4000000 7b0175b940000000 3deb05614f260625 3deb05614f260625
79b6a497a0000000 7b7c7b6c92000000 31c98079ddcaec1f 31c98079ddcaec1f
7b4d55cab2000000 7b8a907a1b000000 3ded70c0255db80b 3ded70c0255db80b
7b38117ed8000000 7a346a5eb0000000 4814bb92e6770193 4814bb92e6770193
7b1696ad76000000 7b4ee611ce000000 3dd29c53f6ef8fea 3dd29c53f6ef8fea
*7b94cb0220000000 7bb1f63c14000000 3eb05287bb3b0b3f 3eb05287bb3b0b40
7b64070604000000 7b61a78318000000 400ac4d5a3b9eed1 400ac4d5a3b9eed1
7b11070fd4000000 7bbd4ed9bd000000 3a20f57d1bb5ddc6 3a20f57d1bb5ddc6
*7b308d94e6000000 7b3a9d1414000000 3f9197156c4bd891 3f9197156c4bd892
*7b5e8a2ba2000000 7b14949aea000000 41fdb8001715786c 41fdb8001715786b
7b1c44972e000000 7adf2276ec000000 419a46c0970edb19 419a46c0970edb19
797324e640000000 7a99a45828000000 365484a3f2e5bfec 365484a3f2e5bfec
7b951727c6000000 7b6dbc7a06000000 41045a5c806fc641 41045a5c806fc641
7b6166c700000000 7b4672e6ba000000 408b13801532d5aa 408b13801532d5aa
*7baea6dabf000000 7b70991564000000 41cea85ceed9735b 41cea85ceed9735a
799a289670000000 7b077c050a000000 348d23d54167d493 348d23d54167d493
7b5e558f6c000000 7b74abd9ce000000 3f45078a7727d5ff 3f45078a7727d5ff
7ba6f775e2000000 7b9dd1a275000000 403b5ad22a1a4abf 403b5ad22a1a4abf
7b6544ef34000000 7ac267fd24000000 44b7a28edc6de024 44b7a28edc6de024
7b431f49f4000000 7b439a7ea0000000 3ffaf60419ba1619 3ffaf60419ba1619
7baaefec31000000 7b88871adc000000 410214d53412ca81 410214d53412ca81
*7b7f3dc38a000000 7b9d9263cb000000 3e7ab7824a0e7374 3e7ab7824a0e7373
7ae4846894000000 7b425d0d7e000000 3cb3f0304d730f2b 3cb3f0304d730f2b
7b7ebf4636000000 7b48220cac000000 4117704c0b609b93 4117704c0b609b93
7b536b4336000000 7b7dfd09c0000000 3ea8bf51a3f376c9 3ea8bf51a3f376c9
7851b51080000000 7a8b5aef00000000 2e04f4c1fb06b8b0 2e04f4c1fb06b8b0
7b405a173e000000 7801869e00000000 59f0b00c70c9005b 59f0b00c70c9005b
7b86e7c217000000 7b13c55a74000000 434db11b86b417a8 434db11b86b417a8
70921c0000000000 7988b2c380000000 1af10b9475848b79 1af10b9475848b79
*7b5972d1f4000000 7b405d3b76000000 408587a0e8fbae45 408587a0e8fbae46
7b898e3975000000 7b67425622000000 40c22c7a446e21ab 40c22c7a446e21ab
7aa8452bd4000000 7998fcb910000000 48664b774982e456 48664b774982e456
7b7858ae94000000 7b96cab3f0000000 3e9679cac8f9ef30 3e9679cac8f9ef30
7b537cd29a000000 7b85ba90bf000000 3e536bfcbb758bb8 3e536bfcbb758bb8
79a6bdf010000000 7a1e7da210000000 3c354f7bda901942 3c354f7bda901942
79f4a5c500000000 795306c960000000 44a3252254c248d3 44a3252254c248d3
*7aaa69a0c8000000 7b72118b3a000000 39a1c2e07a525812 39a1c2e07a525813
79c52c70a0000000 7bb5064734000000 305b59ae37da0881 305b59ae37da0881
*7b8cd879e0000000 7b9b9d235b000000 3f3da3854f3d31f6 3f3da3854f3d31f5
*7aee79f5fc000000 7addcb8848000000 404d0408d1e635d1 404d0408d1e635d2
7a252f47c0000000 7b0fe28914000000 389796174d73578d 389796174d73578d
7b58f2528c000000 7a85f98b60000000 467a2c23974b0110 467a2c23974b0110
7b471ccfca000000 7ba817a6c6000000 3cbcf8a88e6a08c7 3cbcf8a88e6a08c7
7b31e289d6000000 7b7068a1ba000000 3deb5ee0dee56e1d 3deb5ee0dee56e1d
7b6011d6a0000000 7b0ad4dc62000000 4274b4388ff70ee7 4274b4388ff70ee7
*7b99b2b3b6000000 7b272ea66a000000 435ad145360d6ca8 435ad145360d6ca7
7b5b0429ee000000 7b6547f142000000 3fa44ff8d93f90fc 3fa44ff8d93f90fc
7b17974824000000 7b3b16008e000000 3e7b711f394901ed 3e7b711f394901ed
7ae0399b48000000 7b4afcaf90000000 3c6b236616dd84ed 3c6b236616dd84ed
7ac4894df0000000 7ba5907bca000000 38bf8f00d0f57f04 38bf8f00d0f57f04
7b3044a0d2000000 7b79dbef1e000000 3da4cd630c8c9167 3da4cd630c8c9167
78c6df0440000000 7b9030b73c000000 2984541980272acb 2984541980272acb
7b44d89eae000000 7bb43dcf8e000000 3c5e55fc7bb5936b 3c5e55fc7bb5936b
7bb5c13306000000 7bba8e9fc1000000 3fcb4788c6cf9bdd 3fcb4788c6cf9bdd
7bb12bc71d000000 7ae372bc5c000000 463b4ab20765a2c4 463b4ab20765a2c4
7b157eadfa000000 7a1cc0b3a0000000 47a12ca3e2b0655d 47a12ca3e2b0655d
7b5a34fd4a000000 7b80808a03000000 3ecad5c1b7131104 3ecad5c1b7131104
7b1c4d6c6a000000 7a72322e10000000 4529aff046b8157f 4529aff046b8157f
7ae574e468000000 7a47306990000000 449b99b87624f2f3 449b99b87624f2f3
7bb1de5c37000000 7ba677b7c0000000 40462193e9db90b3 40462193e9db90b3
7a4b34b9a8000000 72449b0000000000 64810883882fd627 64810883882fd627
7b097bfb04000000 7b2587a0a0000000 3ea5029297fac3ba 3ea5029297fac3ba
7b98eb1f9f000000 7b6d38b372000000 4128302801d0ac09 4128302801d0ac09
7b72771020000000 7ac946db50000000 44d18be0c72fcd6c 44d18be0c72fcd6c
7b6d42d84c000000 7af7e61648000000 43a81e1bb285740c 43a81e1bb285740c
7922b0e480000000 7b8f8c8bc5000000 2c888c6386be30c4 2c888c6386be30c4
7bb495df4c000000 7b7ca21e68000000 41b7efa56e3a6a93 41b7efa56e3a6a93
7b03bc8532000000 7bab374b72000000 3a27c3d33cb076a1 3a27c3d33cb076a1
7bbc4a94c7000000 7b0de7937c000000 454ebb69b381e780 454ebb69b381e780
7bbac3d737000000 7b61c0e826000000 429e4d5d95476471 429e4d5d95476471
7b0a89b1e4000000 7b794433a0000000 3c723e0333cf842b 3c723e0333cf842b
7b9c17bf85000000 7847b6dc80000000 5a40ad2d732b1052 5a40ad2d732b1052
7b072bc71c000000 7b2db72d78000000 3e399563367ea359 3e399563367ea359
7b64dfc398000000 7b88dabc81000000 3eb08729e996f450 3eb08729e996f450
7b26fb6118000000 79e87a1510000000 49bf06192cc273f5 49bf06192cc273f5
79a6a2a3a0000000 7b9713941b000000 30697547d1caa9b4 30697547d1caa9b4
7b4ac6701a000000 7b79b4180e000000 3e7f1b443c28ee5e 3e7f1b443c28ee5e
7b9fee509c000000 7bb8e0e89a000000 3eeba43c7592d451 3eeba43c7592d451
79b61ad580000000 7aa961eb58000000 384ce9a430483658 384ce9a430483658
7b1fe8f96a000000 7b6189cacc000000 3dac102ded466a60 3dac102ded466a60
7a9cca1b74000000 7b5fc59aa2000000 399af7120e401574 399af7120e401574
7ba9a5ce00000000 7bb2943436000000 3f9992d82c4cf2f0 3f9992d82c4cf2f0
7a51da4138000000 7b7334956a000000 36e723e1866d1db9 36e723e1866d1db9
7ba359199c000000 7b02db9e0a000000 44fe3ea8cebe0a4e 44fe3ea8cebe0a4e
7b52fa300c000000 7b19fdcf38000000 417af0a1e9715507 417af0a1e9715507
*7b68040676000000 78ee180b40000000 53cbb7acec9a51e4 53cbb7acec9a51e5
7b0d3264a2000000 7b975b1cd7000000 3b76899bd3ed70d2 3b76899bd3ed70d2
7b0a4c5e64000000 7bb0164a57000000 3a487e649d5ad235 3a487e649d5ad235
7b48b408e6000000 7ae4a0c9b4000000 4305db0af2975240 4305db0af2975240
7acf3aaa10000000 7baaf336c4000000 38d95053809f50e2 38d95053809f50e2
7b21069e88000000 7b71e9b36e000000 3d5338ce2620a8ef 3d5338ce2620a8ef
7b36130236000000 7ad3754edc000000 42e36991deeb504a 42e36991deeb504a
7ac8743090000000 79ed82b9f0000000 46c077c3cbb427b2 46c077c3cbb427b2
7b3fa114f6000000 7b82a05b69000000 3dde35dc21bc3558 3dde35dc21bc3558
7979a0aac0000000 7aeb682e58000000 343ddbccf1d191ee 343ddbccf1d191ee
7addf6bc80000000 7bb9ea117b000000 38c68eb400d0968d 38c68eb400d0968d
7b13646e6c000000 7b974e399c000000 3bcb0832ba7b935a 3bcb0832ba7b935a
7bbc8a6ce4000000 7b22fe7918000000 44a07ed925369919 44a07ed925369919
7b24508a64000000 7b68103808000000 3daa1afba4793132 3daa1afba4793132
79b694e070000000 7a5ed3e340000000 3a8e19745435231e 3a8e19745435231e
*79b5655a00000000 7b0fe775d6000000 350ac922a9ad7ef7 350ac922a9ad7ef8
7b5ab371ea000000 7b3afd35a6000000 40ada9870ee3a44a 40ada9870ee3a44a
7bbbfbd6f0000000 7b71860df8000000 423a02237b59d2b1 423a02237b59d2b1
7b72b22e76000000 7b0ea45920000000 42ce44e538a4cbde 42ce44e538a4cbde
7b016d83d0000000 7b4d65a060000000 3d0a8422d8f11cfe 3d0a8422d8f11cfe
7a93431d8c000000 7b796531b0000000 38b94bfa88f78aab 38b94bfa88f78aab
7b3eebae5a000000 7b9e29de9f000000 3cd414597edd0e94 3cd414597edd0e94
7b08098ad0000000 7ba02c9915000000 3acb621c0afa16a6 3acb621c0afa16a6
791b95d9e0000000 7b51ab1998000000 2defba490aa1e0b8 2defba490aa1e0b8
7b9cdf31ed000000 7b48a50bf8000000 424134c9a0c721d0 424134c9a0c721d0
7b86ff6b6b000000 7b41c7eeaa000000 4192bdcd2b41c781 4192bdcd2b41c781
790fe3e220000000 79bdc1a3a0000000 3a10f9c1d6527e3c 3a10f9c1d6527e3c
7b13730842000000 7a857943f8000000 446b385a91773d45 446b385a91773d45
7b605d406e000000 7b2171df18000000 418f14ae01379271 418f14ae01379271
7b82424854000000 7b695b7e90000000 40772ee67d311530 40772ee67d311530
*7b392a138e000000 7b17f798ea000000 40dfb14709b7c804 40dfb14709b7c803
7b960c1047000000 7b198753fc000000 43d18ef2f15e1763 43d18ef2f15e1763
7b9cb4bc02000000 7b984e589b000000 401d950d6659336a 401d950d6659336a
7b02e2d28c000000 7b72938b92000000 3c51082438aa7952 3c51082438aa7952
7b48944a20000000 7b2efaf31a000000 4095ceb950506eed 4095ceb950506eed
7b0c1adf8e000000 77fdc22400000000 5865c4c4e0e245dc 5865c4c4e0e245dc
7b5f97a450000000 796fdb21a0000000 4f75223943e671e1 4f75223943e671e1
*7b74914db6000000 7b282bee72000000 41d12d329452306d 41d12d329452306c
7b3df8a536000000 7b00ac2d44000000 41e7d38ec49f3518 41e7d38ec49f3518
7b2a29b096000000 7b1d904986000000 4051e1c4df6ba28f 4051e1c4df6ba28f
7b0fa9df60000000 7b1ebafe4e000000 3f3d99f932e1002f 3f3d99f932e1002f
7a8b786ff0000000 7ba6d1424b000000 36b043a4d0c12d02 36b043a4d0c12d02
79fb395c90000000 7b6fe5e890000000 343058417b722b06 343058417b722b06
7b6b32ce1c000000 7b2f110af2000000 415fb93f5db896f0 415fb93f5db896f0
7b0ea0e6de000000 7b9877830b000000 3b7bd8f330323d88 3b7bd8f330323d88
7b7cb38f88000000 7b2e081270000000 41cee492f860f601 41cee492f860f601
7b906a7753000000 7b67e65da6000000 40fb64e5d49b39ee 40fb64e5d49b39ee
*7bae8c8eb2000000 7b2f75d584000000 43f55d289174a37a 43f55d289174a379
*7b8c6ab1de000000 7ab39959d8000000 4641329fcdc66e2c 4641329fcdc66e2b
7abafbcfe8000000 7b9cd52931000000 38c4dd0564d0547d 38c4dd0564d0547d
7a83661524000000 7b3a970558000000 39a2399b951d2f56 39a2399b951d2f56
7b692027e6000000 7ab510dc9c000000 45266b2fb89075ca 45266b2fb89075ca
7b8a31d923000000 7a8d3c1fb4000000 47d3ea7b68b88a85 47d3ea7b68b88a85
7b1432c0b0000000 7aac2d79b0000000 42e2c5c8a733c8cf 42e2c5c8a733c8cf
7b809a8a9a000000 7afaa5d12c000000 441acc7f68c6f71d 441acc7f68c6f71d
7b309f2b28000000 7b8acc63bd000000 3d170bbc7ae6f912 3d170bbc7ae6f912
7b43f0f872000000 7a89a3d7b0000000 45b1be6ee0bc7981 45b1be6ee0bc7981
7ad75f08a4000000 7b45260da6000000 3c5ea624b97c9480 3c5ea624b97c9480
7b4224d470000000 79e2757bd0000000 4adbc191fb288cab 4adbc191fb288cab
7b5abed520000000 7b0615cce2000000 42868b98f92ea747 42868b98f92ea747
7bb0904daa000000 7a8cdcb2e4000000 4903888baf4deb69 4903888baf4deb69
7ba59ded44000000 7b91a2b7e2000000 408c7e4b6115836d 408c7e4b6115836d
*7bb15e8477000000 7b92fd57d4000000 40d3a38419a03597 40d3a38419a03598
7b295b3ca6000000 7b43dda442000000 3eead067cbf12fc2 3eead067cbf12fc2
7ad8d21234000000 7b7497172e000000 3b177ad5cf599d79 3b177ad5cf599d79
7b67231406000000 7b4f261208000000 407694e56dc83f8d 407694e56dc83f8d
*7b8d48e36b000000 7b107e50ac000000 43d2856128fc2ddb 43d2856128fc2dda
7b1303b64a000000 7b06facff0000000 405b4cb43bf05f31 405b4cb43bf05f31
7b051567da000000 7af44d94a0000000 405ba4e1107aa072 405ba4e1107aa072
7bab1070fd000000 7b1fa72eb0000000 444930afa6661af1 444930afa6661af1
792a51afc0000000 7b69249844000000 2dd822be54d092f5 2dd822be54d092f5
7a1a87fa80000000 7b0fba82d6000000 384cf6af999d8b2a 384cf6af999d8b2a
7bb1a5b14b000000 7af985c134000000 45b2123a0e51505e 45b2123a0e51505e
7a3d86c618000000 7adbe0fb24000000 3ae54a92f45f63ad 3ae54a92f45f63ad
7bb2f3bc70000000 7bab01d814000000 402f930d09381aec 402f930d09381aec
7b7dab006a000000 7b4932db76000000 410b0adc09bc50d5 410b0adc09bc50d5
7b52c690c2000000 7a3432c7c0000000 48adc2616730d874 48adc2616730d874
7a5c8d6420000000 7a62b82688000000 3fc84ac22796aab0 3fc84ac22796aab0
7b03106218000000 7a533965c8000000 44f6c7270735e144 44f6c7270735e144
*77e0e51800000000 7baaeb796e000000 21a08c422d22aac7 21a08c422d22aac8
*7bb0ed85b2000000 7b9c821f11000000 408599c2019ff402 408599c2019ff403
7b0e84fc7e000000 7b64eb6d7c000000 3cfb08383f2f3c10 3cfb08383f2f3c10
*7adba52f28000000 7b1065e198000000 3e159df304619984 3e159df304619983
7a6b098160000000 7b4fada2f8000000 3886e60064cbd60e 3886e60064cbd60e
7b496a1fba000000 7b49475cd8000000 4000b0d8d08851e9 4000b0d8d08851e9
7b34991076000000 7a5cf2dd38000000 4689fbd75a90bd89 4689fbd75a90bd89
7bacd69f38000000 7b6f5b70ce000000 41c6d92abf7ff8db 41c6d92abf7ff8db
7b83752d24000000 7b918baf15000000 3f39c427ca44a64c 3f39c427ca44a64c
7b38a2cda6000000 7b3b836abe000000 3fe092c991a876f0 3fe092c991a876f0
7ab4a82af0000000 79928060b0000000 48eebc634fe635c1 48eebc634fe635c1
7b2adedb8c000000 7ac27cdf80000000 43074e19f3c8eb57 43074e19f3c8eb57
7a559da900000000 7bb1c0d49a000000 34ce98e0b432568f 34ce98e0b432568f
79e60ed3f0000000 7b0fbb7fba000000 36670358b4459a74 36670358b4459a74
7aeba36a94000000 7b47a0b60c000000 3cb8b7c746ee7a72 3cb8b7c746ee7a72
7b70f9ef7a000000 7b2b391f8c000000 41a128ab0d420339 41a128ab0d420339
7b95912fa0000000 7b86dde4fd000000 406f9d7604e923c6 406f9d7604e923c6
7b9d7a4fa3000000 7b20f4148a000000 43d3c66b89592a1b 43d3c66b89592a1b
7b555c8006000000 7a2fba3b00000000 48db4cddeb9636f3 48db4cddeb9636f3
7a985a682c000000 7b803f6fa3000000 38c078612aaf6ee7 38c078612aaf6ee7
*7a66a962e8000000 7b0721d35c000000 3ad3e693863b3e07 3ad3e693863b3e08
7b2172f3d0000000 79706d8c00000000 4d5f3f11a9968ad6 4d5f3f11a9968ad6
*7b3310621e000000 7b6da027e8000000 3e0747e9c4f552f9 3e0747e9c4f552f8
79785b8060000000 7b6cbabad8000000 30324c5d369e8b5d 30324c5d369e8b5d
7ae983f688000000 7b30f8abd8000000 3d472d9b8e3b61c5 3d472d9b8e3b61c5
7b7bc1939a000000 7a1f497588000000 4a5273c02c4551f7 4a5273c02c4551f7
7b1e98d3c0000000 793474ba00000000 4f07ebf9578fdf8e 4f07ebf9578fdf8e
7b8a468c1e000000 799ad67820000000 4f24efa0479ab203 4f24efa0479ab203
7b3c3ff778000000 7b882755eb000000 3d87cfa98a72cd01 3d87cfa98a72cd01
7b9d969634000000 7ab5b19670000000 46f04a393f5d9780 46f04a393f5d9780
79dcafbbb0000000 7ba7dd2252000000 31423a55b4f65bba 31423a55b4f65bba
7bb719cd1f000000 7b57d5eef0000000 42c96370b0360dc3 42c96370b0360dc3
7bb004783d000000 7b2960c6a6000000 442823c015a5515c 442823c015a5515c
7a4abae8a0000000 7af73dcfe0000000 3a8f4b73795bec3b 3a8f4b73795bec3b
7b791b0b4a000000 7b20e25466000000 423183e0085a8358 423183e0085a8358
7aa6e9adc0000000 7bac91fde2000000 37bcdbf57ccb3264 37bcdbf57ccb3264
7a94863618000000 7b0623910a000000 3c6dd0daa54b45d1 3c6dd0daa54b45d1
7b54c2e3b4000000 7a86bc4cac000000 46510058646a9238 46510058646a9238
*7a1c1795c0000000 7b941bdd4b000000 343731f1e6667815 343731f1e6667816
7b1c82221a000000 7bb8a4803f000000 3ac7f180a3aa4bb5 3ac7f180a3aa4bb5
7b2e5b4ba0000000 7bb8a282e8000000 3b8dfe1d8d5276a3 3b8dfe1d8d5276a3
*7b34a726d4000000 7b55613110000000 3ec5e446b4759204 3ec5e446b4759205
7b197cc066000000 7ba894a478000000 3b48a39045bacec9 3b48a39045bacec9
7a8d54631c000000 7ba3ec0603000000 36e5bcb230166e1b 36e5bcb230166e1b
7b426e4ec0000000 7b7b515864000000 3e306d221224a207 3e306d221224a207
79e9c9e8b0000000 7badf58793000000 31602ef71cde19a2 31602ef71cde19a2
*7ba63d50aa000000 7bb1f1b753000000 3f7949be92e107c4 3f7949be92e107c5
7b23c31b2c000000 7b8774780a000000 3cd5fe773f324d9b 3cd5fe773f324d9b
7baf9a6385000000 7b40fc5842000000 4347883f6db6c2b4 4347883f6db6c2b4
7bb569ff9d000000 7b2baf685e000000 443a06e47a5262f2 443a06e47a5262f2
7a137f1ba0000000 7b874b8d33000000 345c5912578d478e 345c5912578d478e
7b3a985872000000 7b04148df2000000 41a6a54b220d0066 41a6a54b220d0066
7b4f9372a8000000 7b92b62bd6000000 3da8d0516cb7ead1 3da8d0516cb7ead1
7a3f0fd940000000 7b74733992000000 3640b6e27c76eee4 3640b6e27c76eee4
7b9a01b909000000 7b6a5c4ec2000000 4141d06cae90a26f 4141d06cae90a26f
7af10f8f08000000 7865b92e80000000 54328972b77c294d 54328972b77c294d
797c8a66e0000000 7b284bbdd6000000 320096d51e0a51bf 320096d51e0a51bf
7b780a1e4c000000 7a87983100000000 47512d45b9b6c6fa 47512d45b9b6c6fa
7b12a80c98000000 7bb848f2e9000000 3a5dd36da1423250 3a5dd36da1423250
7ba3b17e9e000000 7b2270b308000000 4407e63f5891029c 4407e63f5891029c
7b8c6ed1d4000000 7a7db46e20000000 486da07380a5cd1c 486da07380a5cd1c
7b663ccb88000000 7b2b85b040000000 415e88c9b1762eab 415e88c9b1762eab
7b2fff015a000000 7a367f2310000000 47b70ce7f2f4ae47 47b70ce7f2f4ae47
7a9becc690000000 7b48dca502000000 3a35d1db69ef3cb1 3a35d1db69ef3cb1
7bb6867227000000 7b9a199769000000 40bce2f7d5866fd5 40bce2f7d5866fd5
7bba312aa2000000 79e85f2d40000000 4e68ff2812ca2525 4e68ff2812ca2525
7ac3a5ef2c000000 7b4258b88c000000 3c06dbae3d4e95f3 3c06dbae3d4e95f3
7b65e88aac000000 7b4edfdbe4000000 407203c43d4121a9 407203c43d4121a9
7b768be75e000000 7bad256ff8000000 3db2183e7c318757 3db2183e7c318757
*7b6bebc18e000000 7bac2f6f7b000000 3d7b0a7d7bf9bbb0 3d7b0a7d7bf9bbb1
79e51cc3e0000000 7b51d44c3a000000 345e1abd2889737c 345e1abd2889737c
7a1cfaeb68000000 7b8997bfee000000 3490492853233ef5 3490492853233ef5
7b960f9615000000 799953e340000000 4fd45d82a1b1f813 4fd45d82a1b1f813
7bb5c72f69000000 7a9185894c000000 48ff20afeb77bc20 48ff20afeb77bc20
7b64fec8a2000000 7b12cd19ac000000 423d569a4cbfa03c 423d569a4cbfa03c
7ab7e48904000000 7988efa660000000 495f237171bfe889 495f237171bfe889
7b25f79616000000 7b4780a354000000 3ea7bdd7d5c2c46d 3ea7bdd7d5c2c46d
7bacf05cba000000 7b0af65eb8000000 44fa5ea4158edc82 44fa5ea4158edc82
7bad26de81000000 7b35d2fdf6000000 439e515ca6207f7a 439e515ca6207f7a
7b1c145386000000 7b8022a98c000000 3cdf50d68a07a912 3cdf50d68a07a912
7bbea9d0a6000000 7b75f50cfa000000 4233964b1ada64b7 4233964b1ada64b7
*7b0b3bb1d0000000 7b57a15e4e000000 3d2a6662c9a78ab4 3d2a6662c9a78ab3
*7b2bc80af0000000 7a1d401558000000 485ea00a8f023ad5 485ea00a8f023ad6
7b57e6b166000000 7a246eb0f0000000 49408555e23f96d0 49408555e23f96d0
79ea289280000000 7ac644c66c000000 38b95c4dbc757f02 38b95c4dbc757f02
767a151400000000 7b490d1d42000000 1fc2d47bc21f00ac 1fc2d47bc21f00ac
7b0a72688e000000 7b58a3bbf0000000 3d1cce6d3c08d6a6 3d1cce6d3c08d6a6
7b7228c97e000000 7b27b77ade000000 41c68305aab5e0e8 41c68305aab5e0e8
7b9de30f94000000 7b72e5d40a000000 41333a8e67cc183c 41333a8e67cc183c
7ae1893040000000 7b463887b6000000 3c8d1bc39799ccbe 3c8d1bc39799ccbe
7b4b898ffa000000 7bb176b0d0000000 3c967351d896b4fa 3c967351d896b4fa
7adbe00528000000 78e9f5e440000000 4f84b397f5805762 4f84b397f5805762
7baae99ad5000000 7b5eb49ab6000000 4223b6382458ef7f 4223b6382458ef7f
7b4d8836d8000000 7a05731690000000 4a291c9353398329 4a291c9353398329
7ba0d0fe2b000000 7b9dbc1cb7000000 401401575f351a4e 401401575f351a4e
7b94563a64000000 7b17753200000000 43d5cc6e1752cc77 43d5cc6e1752cc77
7b8d166a12000000 7b7634aba0000000 409599dd27567fee 409599dd27567fee
7b38d1f7a4000000 7bade7683d000000 3c40473b6dae7c0f 3c40473b6dae7c0f
*7a46b39438000000 7b617e6c6c000000 370ca9ffbaad8756 370ca9ffbaad8757
7b7344027a000000 7a97b37264000000 466a12a80b74c251 466a12a80b74c251
7b57b3180e000000 7b2c15fa1e000000 4103863bccca8688 4103863bccca8688
7b27a889ac000000 7915ffd1c0000000 50788d9546f62241 50788d9546f62241
7b0d94668c000000 7b1aec8c26000000 3f4f98a4a473dcc9 3f4f98a4a473dcc9
7baa9cdb28000000 7bb2a3bf4d000000 3fa3f9dcca52665d 3fa3f9dcca52665d
*7ba3814323000000 7b7ce23ffc000000 412c28743ce97248 412c28743ce97249
7add4ef76c000000 7b5496ecd2000000 3c29ff486c68370d 3c29ff486c68370d
*7b17cecc24000000 7b43e13206000000 3e3336088447f3d3 3e3336088447f3d2
7b9cef35b6000000 7b5ed1526a000000 41a2714ac235b9a1 41a2714ac235b9a1
7b40c37204000000 7b2885e722000000 40934adc6cc1007d 40934adc6cc1007d
7b33683f3c000000 7bac491f14000000 3c2a53f6641dd979 3c2a53f6641dd979
7bb6338c95000000 7b6d46aaa8000000 4224a2542821115f 4224a2542821115f
7b9bb1c849000000 7b96954597000000 4022c22ce9d92e34 4022c22ce9d92e34
7b15cc91ca000000 7b6acbcfce000000 3d1a9ddfb85df009 3d1a9ddfb85df009
7b05bcc956000000 780ceabd00000000 5797a8577db42017 5797a8577db42017
7b58127a76000000 7b3d2059e8000000 4091e501d5ed265c 4091e501d5ed265c
7a3d78d888000000 7adbbe1880000000 3ae5e103cb922d09 3ae5e103cb922d09
7b27ec29b6000000 7b351aff78000000 3f6aec4210bd2aa3 3f6aec4210bd2aa3
7bb8095b9b000000 7bbfff5216000000 3fab156df52686e7 3fab156df52686e7
*7ae476b2c0000000 7b1f70ed58000000 3dbb4aee0ea68d43 3dbb4aee0ea68d44
*7b6dddb06c000000 79d13c18b0000000 4c8c1f34ce39e393 4c8c1f34ce39e394
7baa45f669000000 7abbe0cf44000000 4740184ba9ec6633 4740184ba9ec6633
7b1a262742000000 7b46c74632000000 3e342fc8f79f52fe 3e342fc8f79f52fe
7b7d6154fc000000 7b0803d7b0000000 437397e58f911def 437397e58f911def
7b60eec94a000000 7ba8f6a0e7000000 3d5333937ce4f9a3 3d5333937ce4f9a3
7b65f43f52000000 7af629e118000000 4379246dd87d42fc 4379246dd87d42fc
*79c5a44000000000 7b6355944e000000 32f48173a4f38490 32f48173a4f38491
*7846320f00000000 7b79a3514a000000 2659f8f8fa897da6 2659f8f8fa897da7
7a550b5870000000 7b690d0790000000 37502fc46a26dc72 37502fc46a26dc72
7bba5c20ec000000 7ba6fbaf0f000000 4076d33625e1e055 4076d33625e1e055
7b4c629bde000000 7aefa21428000000 42d2c1d5f3b7fce5 42d2c1d5f3b7fce5
7a8f355ed0000000 7b80d2b8fe000000 387258ef647e84ed 387258ef647e84ed
*7ac1bc2348000000 7b3c52f140000000 3c1d6be9fc0efdd6 3c1d6be9fc0efdd7
7ba7ce680d000000 7b96a056c2000000 4074cb4bff7c206e 4074cb4bff7c206e
7b9a11fdab000000 7b17377f82000000 441352b420fd8c30 441352b420fd8c30
7b97730fc0000000 7b15020cfa000000 4410c72542fcff22 4410c72542fcff22
7ba9c53862000000 7bbf4177cd000000 3f19ee6191a08a9b 3f19ee6191a08a9b
7b4242ba7e000000 7b07ae6c1a000000 41ba1a8de46a8dd9 41ba1a8de46a8dd9
79add378e0000000 7b7128d9fe000000 31c42ef71fc9c80e 31c42ef71fc9c80e
79e583c8a0000000 7ad289bfc0000000 385c4c28f8b8542f 385c4c28f8b8542f
7adf579330000000 7b5ef33394000000 3c01cd02da6ac374 3c01cd02da6ac374
7b70f34bfa000000 7b056638b6000000 43399572c5903936 43399572c5903936
7b501c0d92000000 7b86d1e288000000 3e2ca8abb20c56fb 3e2ca8abb20c56fb
7b6eab1896000000 7b9fe3eb96000000 3df88677e73d5713 3df88677e73d5713
7b6c96f8a4000000 7b5f9e6492000000 403b65ab46d4d4b7 403b65ab46d4d4b7
7b452e0fe2000000 7b3cb30636000000 402e0567351ba703 402e0567351ba703
7b6d4229a2000000 7b33d92878000000 4146e0296f0634df 4146e0296f0634df
7b7c7add62000000 7b59d92246000000 40a2c98e8080a18b 40a2c98e8080a18b
*7b13778d0a000000 7b41a8ed44000000 3e177fc9b879083c 3e177fc9b879083d
7b168c287c000000 7b00b9b6ac000000 40ad97b1847a485b 40ad97b1847a485b
7bbac10adf000000 7b130705e0000000 4514aeffbcefd46e 4514aeffbcefd46e
7ab525b1e4000000 790f9a2c80000000 4d0bb9e910144161 4d0bb9e910144161
7aa95fe648000000 7b711f0170000000 399e9c1ad75e0777 399e9c1ad75e0777
7b09b35976000000 7b4a3208e2000000 3d72be58dbc0f721 3d72be58dbc0f721
7b04260750000000 7b64463268000000 3ca197b9e72b9c85 3ca197b9e72b9c85
7b532babac000000 7b58d5fa74000000 3fca7df0f63e8067 3fca7df0f63e8067
7b556533d8000000 7bae6f8291000000 3ce4b52eeff66dea 3ce4b52eeff66dea
7b23080358000000 7ab3167650000000 434861fe1fff45ef 434861fe1fff45ef
7a824a6f14000000 7bbb19d782000000 35922865718d47a1 35922865718d47a1
7b99309acf000000 7b8b4535b2000000 4066583d26d69820 4066583d26d69820
7b5312b426000000 7b556938f6000000 3fe9904fafd81008 3fe9904fafd81008
7ae02b4d18000000 79a1bf5d80000000 498b2ea5be7e2770 498b2ea5be7e2770
7ba5ce73fd000000 7b2276dc2a000000 441510bcc8ea8529 441510bcc8ea8529
7a2b095c50000000 7b8f57ce10000000 34c5d5b17899b092 34c5d5b17899b092
7aefc02a40000000 7b1ad7fda2000000 3e317feb9994540b 3e317feb9994540b
7a4b9e1f40000000 7b437cfd1a000000 382a9552ec390f14 382a9552ec390f14
*7a753b6730000000 7baed4ef73000000 359c56a7024e56bb 359c56a7024e56ba
7b622c993a000000 7b352eeeee000000 40fe46c7a28c9320 40fe46c7a28c9320
7b7881dc88000000 7b8a8a1086000000 3f2cd14b95bb6098 3f2cd14b95bb6098
7af157d810000000 7a5742d950000000 447c128d9144c326 447c128d9144c326
7bb95efff9000000 7bad6c42a2000000 40468cc2c1b48627 40468cc2c1b48627
7b6affa542000000 7bb59fee3d000000 3d2cec020d9682af 3d2cec020d9682af
7bb7f65328000000 7bb1d5c8a6000000 402347e2730e17ef 402347e2730e17ef
7b211092ce000000 7b62aaa642000000 3daf4489fa0c3f9b 3daf4489fa0c3f9b
7b3e841690000000 7b0c103812000000 4170db9fea1b53d1 4170db9fea1b53d1
7ba6f5415e000000 7b97385e70000000 406a91efdcf0504d 406a91efdcf0504d
*7b6fbbc95e000000 7b6efb158a000000 400339b4214116f8 400339b4214116f7
7b888db191000000 7b2e3fdfee000000 4244f2e6ca516e94 4244f2e6ca516e94
7af6169b38000000 7baf82f2ef000000 399bc57c7d525e54 399bc57c7d525e54
7b5cb09cce000000 7ad58e2df4000000 442235c33cdf282e 442235c33cdf282e
*7a9c01f6d0000000 7b6dcbfff0000000 393f996b8dcaa35e 393f996b8dcaa35f
79c837b740000000 7b490c4906000000 33f78aa2ad43cf18 33f78aa2ad43cf18
7ba668f2e7000000 7b75b793b6000000 416afde43eae1c81 416afde43eae1c81
7a4f4d8000000000 7b1ad2e136000000 395b18351c29bed6 395b18351c29bed6
7b638393a6000000 7ba66d2b75000000 3d77dd0f2c25f908 3d77dd0f2c25f908
7ac7be5478000000 7a4155e5d0000000 4421f0890257d8c2 4421f0890257d8c2
*7a8523d540000000 7b17b28a3e000000 3b0576ccb798f29c 3b0576ccb798f29d
7b4b285aac000000 7b930abf24000000 3d86ca0eb81ad71d 3d86ca0eb81ad71d
7b648ce128000000 7a3c1e13a0000000 48dc17a156d9faca 48dc17a156d9faca
7aacd5ef78000000 7a1c7b2f20000000 446b05c17db376fd 446b05c17db376fd
7b46ba0f26000000 7a064d49a0000000 49eb36fe9ff27527 49eb36fe9ff27527
7a9a689420000000 7b2b46f04e000000 3b364c5e56ed50a6 3b364c5e56ed50a6
7ba84d6ba9000000 7b239f41ce000000 441d4a7e9ffdb2e8 441d4a7e9ffdb2e8
7b5265bc18000000 79baa733c0000000 4c824382c173c763 4c824382c173c763
7b45329436000000 79fcd03140000000 4a3d775ace3b2457 4a3d775ace3b2457
7b429bd6c8000000 79ae6b5c40000000 4c7687a8c5422f74 4c7687a8c5422f74
7b433947f6000000 7b150192e0000000 413d9ddb0fcfb018 413d9ddb0fcfb018
7b96912901000000 7b086bdc2e000000 446a2e0ca983bff7 446a2e0ca983bff7
*7b349b9908000000 7b6fbe28ca000000 3e06d70640a88f61 3e06d70640a88f62
7b1e3947b6000000 7bbbea7080000000 3abc66c512c15f26 3abc66c512c15f26
7b04bfbbaa000000 7b4b5b99b8000000 3d38e76909681b96 3d38e76909681b96
7b80205e97000000 7a656d52c8000000 4877bb48d073d233 4877bb48d073d233
7b3b19c282000000 7b1e7a04e4000000 40b8f458498808bc 40b8f458498808bc
7b313035b2000000 7a7f65f8b0000000 458cd8906cd115e3 458cd8906cd115e3
7bb4eacf4f000000 7b3170f2e0000000 44140f5270a9c1f2 44140f5270a9c1f2
7bbc9a6970000000 7a13bd8480000000 4d1b394e1c2a47c3 4d1b394e1c2a47c3
7ba7f57be3000000 7a29942248000000 4bec70468507cc7b 4bec70468507cc7b
7bb2646fa7000000 7b39c09664000000 43aeda691b86da30 43aeda691b86da30
7b5bd5fb74000000 7b5dfdb22e000000 3fec1e1c1cca3d77 3fec1e1c1cca3d77
7b5eaff784000000 7b95e0799d000000 3df17625a52b577d 3df17625a52b577d
79062bac00000000 7a86f1ada4000000 33f442ec9434f927 33f442ec9434f927
7b6581899e000000 7b6438b634000000 4005c365f69413c1 4005c365f69413c1
7a05da2400000000 7b44318122000000 35753d4c5ca2ce63 35753d4c5ca2ce63
797f9a96e0000000 7b94eca1e1000000 2edd869174e3721c 2edd869174e3721c
7b83d52bfb000000 7b757b330c000000 404bda759f40962e 404bda759f40962e
79611f9f60000000 7a248383d8000000 3979428778b19c2c 3979428778b19c2c
7b39acb430000000 7b8f05d1b3000000 3d315ff35a34c36f 3d315ff35a34c36f
7aa5f44970000000 7b41570c26000000 3adde8dc1c4eeb04 3adde8dc1c4eeb04
799c36b390000000 7bb0860f58000000 2f145ddb43f7ba25 2f145ddb43f7ba25
*7b6a77ed1c000000 7b4d338aa2000000 40920ca7b441c88e 40920ca7b441c88d
7bbf8be10b000000 7b9eb908eb000000 40d3c2f1d0a32feb 40d3c2f1d0a32feb
7b0e8a96c8000000 7b129e9888000000 3fc7092e12320a3c 3fc7092e12320a3c
*7b1e7e3086000000 7bbc8141f3000000 3ab9efc7d9c29ddf 3ab9efc7d9c29de0
7bafe91196000000 7b8ecaf94e000000 40ed7e56cfc851d2 40ed7e56cfc851d2
7b367495bc000000 7b6e5cb9f4000000 3e1fa69189d9d788 3e1fa69189d9d788
7b30348b24000000 7baa796321000000 3c226ce0c29832f4 3c226ce0c29832f4
7acebe7bb4000000 7980357280000000 4a73425f0a46ba85 4a73425f0a46ba85
7bb9445c6f000000 79ee9e9cb0000000 4e361758049608b5 4e361758049608b5
7b7e636846000000 7a0af51008000000 4b52a18eff03a19c 4b52a18eff03a19c
7ae58d33b0000000 7acb854c40000000 4082f8f669157417 4082f8f669157417
7b9961f92a000000 7b654f52b6000000 4159e22ca0d80fbc 4159e22ca0d80fbc
*7b039679b8000000 7b9893ad4d000000 3ae644e5e5564749 3ae644e5e556474a
7b84064b5d000000 7b765d1390000000 4049826ad5802811 4049826ad5802811
7b16ea00ba000000 7b9d684487000000 3bab848cd0ef6514 3bab848cd0ef6514
7b5bac6648000000 79d403d390000000 4c24fd453d23ecf8 4c24fd453d23ecf8
7bb5fbf1d4000000 7b63b8fd02000000 4264a7e9e87b676f 4264a7e9e87b676f
*7b886ec702000000 7838791880000000 59eaa960113aa937 59eaa960113aa938
7b8f44c308000000 7b6c89f44c000000 40d87296fb5ccf1c 40d87296fb5ccf1c
7b8eb12f6b000000 7b0a8169b8000000 441ef3d59a2b7ebe 441ef3d59a2b7ebe
7b5042f14e000000 7b971ff66d000000 3d83264941447ecf 3d83264941447ecf
7b0d634e1a000000 7b6ecc775e000000 3cbc946435b2b488 3cbc946435b2b488
7b8d64f093000000 7b2ac5acf0000000 429faeed278f6601 429faeed278f6601
7bbca6f08b000000 7b6b0cd274000000 426bbb935adbe875 426bbb935adbe875
7b590599ca000000 78d625e600000000 540dbdefae4f8378 540dbdefae4f8378
7b59d949d2000000 7b73cf7af0000000 3f25ec34756d268f 3f25ec34756d268f
7b3176dc22000000 7ba9939574000000 3c2fa18b9653ab7d 3c2fa18b9653ab7d
7b5edc4d64000000 7b0a7c75ec000000 426fe2696a5494e1 426fe2696a5494e1
*7ab3b0b430000000 7b38b59736000000 3bc8599293da96b5 3bc8599293da96b6
7bbf25f86f000000 7934f363a0000000 5439b546b163882a 5439b546b163882a
*793dcc21e0000000 7aba507c84000000 341324901aaecea6 341324901aaecea7
7b15cbac28000000 7bb2da8e1c000000 3ab3439a9ff563ce 3ab3439a9ff563ce
*7b8aeeeabd000000 7b8a0bce83000000 400694a933740ee4 400694a933740ee3
7ae208a4e4000000 7a06ea5e60000000 46b394eec25978f8 46b394eec25978f8
7ae27fb690000000 78d9ffd340000000 5027ecb7762b881c 5027ecb7762b881c
7b076fb3d0000000 7b071db0c2000000 40026d8aaeb98dc5 40026d8aaeb98dc5
79d73cdd00000000 7b78740644000000 32ee3470533357b0 32ee3470533357b0
7b28d8485c000000 7b0c2776ea000000 40d19f022b76eba4 40d19f022b76eba4
*7b7f4404de000000 7a411ff0b0000000 49497cf52204312c 49497cf52204312d
799a293d60000000 7b8d15d040000000 305ee7b4456753a3 305ee7b4456753a3
7a22f009c0000000 7b3c1d7008000000 36ede534c06ce1d0 36ede534c06ce1d0
*7b2653176a000000 7b940003a8000000 3c7ec92b9fe52374 3c7ec92b9fe52375
7b6c656c36000000 7b39983f16000000 41184abda5efebd0 41184abda5efebd0
7ba115d3e8000000 79a90b7530000000 4f9f91d688a639d5 4f9f91d688a639d5
*7bbadad790000000 7b687ebf3a000000 426df6f68ff88710 426df6f68ff8870f
7a7485d7d8000000 7bb10d8dab000000 358637aee89023ce 358637aee89023ce
7b2216fe50000000 7afe9a3b8c000000 4117d6189f0daafe 4117d6189f0daafe
7aee7913f8000000 79be656b80000000 490291c71df29f43 490291c71df29f43
7acf97ba00000000 7b345dfff4000000 3c9a91485925166f 3c9a91485925166f
*7af3cf918c000000 7b84f16da9000000 3b55f6b3638da678 3b55f6b3638da679
7a4eac76e8000000 7b712452c4000000 36db43a0abf1be0d 36db43a0abf1be0d
7a06be2308000000 7b1b76fabc000000 36ef052b8804f2a7 36ef052b8804f2a7
7b258252b8000000 7b1b97518a000000 4041461670f88a02 4041461670f88a02
7b2559d60e000000 7b592d7072000000 3e174583551ab1a7 3e174583551ab1a7
7b0cbba44e000000 7b47095ed0000000 3da814c02fac3f68 3da814c02fac3f68
7ae948d65c000000 7b991efb83000000 3a18185366247efe 3a18185366247efe
7ac54160b4000000 79cff209e0000000 4796b7597e3df0ca 4796b7597e3df0ca
7936b67780000000 7b6f5c54a8000000 2e1b503a0dce05b6 2e1b503a0dce05b6
7b6107a00e000000 7b000fd2a8000000 43075e920a0f1a0d 43075e920a0f1a0d
7a06692eb8000000 7a8750a0d0000000 3bf2510e407b6b3d 3bf2510e407b6b3d
7b1a0e13e4000000 7b9682d994000000 3c181c4f28bb7a09 3c181c4f28bb7a09
7b99825289000000 7af62b67e4000000 44fd1d2de4078a87 44fd1d2de4078a87
7b2d1585d0000000 7aa93de180000000 44173f8acd2f7a9e 44173f8acd2f7a9e
*7b3ebe045c000000 7a8e473368000000 455ccdf9f3466966 455ccdf9f3466967
7b01a4a3e8000000 7b71c2a96e000000 3c4a3b84094cb5a3 3c4a3b84094cb5a3
7b42394da8000000 7a3ae8a6e0000000 48281385edf84f1a 48281385edf84f1a
7a8cc3345c000000 7b00f75204000000 3c5daa1880d266b3 3c5daa1880d266b3
7bbaeee1b7000000 7b48063e18000000 4379f63fa08f076b 4379f63fa08f076b
7bb95cfdb9000000 7b9c0b888b000000 40c0645ed59051cf 40c0645ed59051cf
7b76c067d0000000 7b391b95a0000000 4155022182352e95 4155022182352e95
7b390e631a000000 7ad93c567c000000 42d0a052678cd968 42d0a052678cd968
*7b0121d3b8000000 7b95e42f46000000 3ae45cb50ad13ab3 3ae45cb50ad13ab4
*7b24b53c54000000 7bbbf11dc5000000 3b02d205bdc95442 3b02d205bdc95443
7b64e3f42c000000 7abb873edc000000 44e1dbbccd83818a 44e1dbbccd83818a
7b648066c8000000 7bb302e3f3000000 3d1b1986818fb784 3d1b1986818fb784
7b098bfdfa000000 7b0935a31e000000 400284785a84dde7 400284785a84dde7
7b5de80370000000 7bb737f914000000 3cd839320ace63a8 3cd839320ace63a8
*7b66f9428c000000 7b42686a38000000 40c09a289b1afd44 40c09a289b1afd45
7b5475ba0e000000 7bb842a044000000 3c9cb71e61847e5d 3c9cb71e61847e5d
7a973c1aac000000 7b325dbd7e000000 3ac87a38fdaee649 3ac87a38fdaee649
7b5ef532b0000000 7b994ba7f2000000 3dd1566a097e16ce 3dd1566a097e16ce
7ae98c4f6c000000 7b336aecbe000000 3d34f0fd78fad183 3d34f0fd78fad183
7b231c906c000000 7b4064350e000000 3ec851251cff66ef 3ec851251cff66ef
7bb2e4551e000000 7b0211c31c000000 45805dc2ae7fbbad 45805dc2ae7fbbad
7b72fb84f2000000 7b5a8ed1f2000000 40726f8186fa1967 40726f8186fa1967
7b9abe57ed000000 7b1618155e000000 441fb86a525be3fe 441fb86a525be3fe
7b1af30700000000 7b1b455a16000000 3ffbc226c5a0e548 3ffbc226c5a0e548
7b8c14ec3d000000 7b0dee8bf2000000 43e54ddcafbb5c32 43e54ddcafbb5c32
7aeba85810000000 7ba6d3442a000000 39a680fb0b9373fa 39a680fb0b9373fa
7a101a8540000000 7b88639985000000 3439eb92fcc00a00 3439eb92fcc00a00
*7b68ebe26a000000 7a70c1e728000000 47bd57d44dfa93c9 47bd57d44dfa93ca
7b1eb5befc000000 7abd801ce4000000 42b33c67149df676 42b33c67149df676
7b09d7021e000000 7b42bc604e000000 3da9a2c8a629159e 3da9a2c8a629159e
7adf0e82f8000000 7ae585c910000000 3fc64e359515e56a 3fc64e359515e56a
*7b7eecda18000000 7b871e803d000000 3f8bf328066ac0f0 3f8bf328066ac0ef
7b5182f2fc000000 7b08c3dc38000000 4220ac7e5b0be97e 4220ac7e5b0be97e
7b50f960c8000000 7a821468f0000000 466d10752f1639a6 466d10752f1639a6
7b7b86c0c0000000 7b4fe63ae0000000 40d6e21ed38aa3ea 40d6e21ed38aa3ea
7a9e8e69e4000000 7b4d09b3bc000000 3a2fb92dd55e3bbd 3a2fb92dd55e3bbd
7ab1542e30000000 7a010256e8000000 457f88a6699ba5b2 457f88a6699ba5b2
7b8709f41b000000 7baa186bed000000 3e59e8b10be89a11 3e59e8b10be89a11
7b7026d09c000000 7abab63a50000000 452515a3d81eec86 452515a3d81eec86
7a04ea2e58000000 7a91a1cf68000000 3b4d289ea0beebb6 3b4d289ea0beebb6
7bbecdd972000000 7a3d39cf30000000 4c088a78f06d5367 4c088a78f06d5367
7b1d01bf8e000000 7bb6e141c0000000 3ade41f062ddb3b2 3ade41f062ddb3b2
7b0ca990f6000000 7b3e3c4b26000000 3dea50acce4218d5 3dea50acce4218d5
*7b36936b34000000 7b8522a1ed000000 3d7c44b7bb5a5e76 3d7c44b7bb5a5e75
7b6d8abef2000000 7ba9ca9562000000 3d989975bf668ac6 3d989975bf668ac6
7bbc03e3ad000000 7af4689fd0000000 462774b710df1200 462774b710df1200
7b88e8baf5000000 7a3a6cca38000000 49e00933e6ff6c35 49e00933e6ff6c35
*7b047993c2000000 7b7f5c34dc000000 3c267465402c8478 3c267465402c8479
7b02305d52000000 7b7c815b1c000000 3c1fed10902520c0 3c1fed10902520c0
7bbcc84263000000 7b1401d33c000000 451a1b336bb1dacb 451a1b336bb1dacb
*7b958e46d6000000 7b7e0a1888000000 40b5ae0667ba5a4c 40b5ae0667ba5a4d
*7b135e081a000000 7b2d4ceafe000000 3ecd88203b4e3c0e 3ecd88203b4e3c0f
7b7a8b73a6000000 7b86774670000000 3f73f9f92a317753 3f73f9f92a317753
7ba0a75f1e000000 7b92d400a9000000 40606c0e322194b9 40606c0e322194b9
792f8a5680000000 7b54acc656000000 2e9a67a9ea030e56 2e9a67a9ea030e56
7b52f4ec50000000 78b08321c0000000 54c7d2a1a1d943fa 54c7d2a1a1d943fa
7b619b5336000000 79a21d5460000000 4d910cb221994214 4d910cb221994214
*7a2cb06980000000 7b6c93f89e000000 35d6edc95fd914d6 35d6edc95fd914d7
*7b68f070e2000000 7b7e2106b2000000 3f553bd0e32e979c 3f553bd0e32e979d
7b721811ae000000 7b4a8bc418000000 40c7f0dbc5184250 40c7f0dbc5184250
79932589f0000000 79ea216070000000 3d07215d58fb8b57 3d07215d58fb8b57
7b371fbcb8000000 7b7b552222000000 3dd432a73dc46351 3dd432a73dc46351
79db299600000000 7b2937ce66000000 352e3b6e86d4b265 352e3b6e86d4b265
7b45e0e63a000000 7b96e4f200000000 3d3ed82e048950ec 3d3ed82e048950ec
7b467bfa74000000 7afa0145e4000000 4259f372748e3558 4259f372748e3558
7b948f8311000000 7b61e12d36000000 4142f7401a404a5d 4142f7401a404a5d
7a18e1c560000000 7b6effec5c000000 351e0d743a1c1cad 351e0d743a1c1cad
7b87d5889b000000 7b6cb46bce000000 409741118cbf4947 409741118cbf4947
7bb60047a8000000 7b9c650bac000000 40a7a8a21252e1d7 40a7a8a21252e1d7
7b4e959904000000 7b123900a8000000 41a6b6ce4acdd04c 41a6b6ce4acdd04c
7a1f280ed0000000 7b5e40aa3a000000 35ba95eb088c7a2e 35ba95eb088c7a2e
7afddad8ec000000 7b13ca9304000000 3edee1a81fd5e139 3edee1a81fd5e139
7b8b8560c9000000 7a9b060af4000000 47333266cadbfaf5 47333266cadbfaf5
7b9301c9d7000000 7a7b531518000000 48adef3376b463e7 48adef3376b463e7
7a2f0ebed0000000 7b24f10024000000 383ecdd28f86272d 383ecdd28f86272d
7b529f584c000000 7a859ce28c000000 464e3254c2b3033b 464e3254c2b3033b
7b727405a0000000 7b78a2ebfa000000 3fcd11c50c1bf98d 3fcd11c50c1bf98d
7b01a3368e000000 79f1bdaaa0000000 484a461e734a2f6b 484a461e734a2f6b
7b1d93ec1e000000 7baa21476d000000 3b68e61520d43e8e 3b68e61520d43e8e
7bb083134e000000 7b1007f1be000000 44e6ed14cdf50548 44e6ed14cdf50548
7ba672bd6a000000 7b62a95d2a000000 41dff13618d441ae 41dff13618d441ae
*7b2e3fa714000000 7b6a7966f2000000 3df1f6a995b2dfb9 3df1f6a995b2dfba
7b9b75f602000000 7b87a2e6d8000000 4095aaaa182d4a26 4095aaaa182d4a26
7bbd40ac59000000 7b03d166d8000000 45be2b3f7259a58e 45be2b3f7259a58e
7b71ef2ada000000 7b94607fe3000000 3e85abbc61f4cece 3e85abbc61f4cece
7adc959b94000000 7b946d8b6f000000 39f1ce3f5a48300a 39f1ce3f5a48300a
7b9c0366bb000000 7b68cc267c000000 415c80c3358b0534 415c80c3358b0534
*7b2cac7db6000000 7b94fafc28000000 3ca2da91ecca507f 3ca2da91ecca507e
798c693210000000 79d7ba5c00000000 3d34fb7926d1de25 3d34fb7926d1de25
7ba9688797000000 7a9327e770000000 489ad8951dca4783 489ad8951dca4783
7b81e1b8ff000000 7b7f7d8ce4000000 4011204e834174ca 4011204e834174ca
7ae713d810000000 79aa84c200000000 496bab4bcb74f038 496bab4bcb74f038
79d3a6c320000000 7b465afb36000000 3444a3da1cb07be3 3444a3da1cb07be3
*7baf7245fd000000 79f1c25310000000 4dce3fbd78f21e3c 4dce3fbd78f21e3d
7b45d8881a000000 7b526e7802000000 3f8582e0399d65ec 3f8582e0399d65ec
7b99c47152000000 7b604d6080000000 417bfa7ed6c53e88 417bfa7ed6c53e88
7b18c9733a000000 7b126d6e9e000000 402c7917f4499167 402c7917f4499167
7b7e85aae2000000 7abd43f6a8000000 4561105e10319e1a 4561105e10319e1a
*7ac9b7cd40000000 7a483a3618000000 44079f863c71f6d7 44079f863c71f6d8
*7a7bbd6f50000000 7b7da559da000000 37f09c7196443cc3 37f09c7196443cc4
7b447bfc24000000 7b9eba835c000000 3cf392845b42ee59 3cf392845b42ee59
7bae23aa98000000 7b94abf163000000 40af69a5cf7b99ee 40af69a5cf7b99ee
7adf07287c000000 7b1b36b104000000 3dbf65386d3575d1 3dbf65386d3575d1
7a1bf4f280000000 7b99ffc832000000 340d047121f5d3ac 340d047121f5d3ac
7b58abb7bc000000 7b034491d0000000 429a37013340849b 429a37013340849b
7baeabb995000000 7ba417a5fe000000 4042037255ddedb2 4042037255ddedb2
7b8f3da16b000000 7b0454afca000000 44546c4f864dc79a 44546c4f864dc79a
7bbe176e30000000 7b7726fa92000000 42272c289bfdee2f 42272c289bfdee2f
7b83cca0ce000000 7b59864f8a000000 40d8e4da5e8fa188 40d8e4da5e8fa188
7a7d591a38000000 7b930a4239000000 36e45690b3b41224 36e45690b3b41224
7abbb5fea8000000 7b3b8522ee000000 3c010acd8e1b24db 3c010acd8e1b24db
79e9dbf820000000 7acae881e0000000 389c32ac25beff10 389c32ac25beff10
7b150b7278000000 7bae48e2ca000000 3ad7685c729baace 3ad7685c729baace
7b0b88c562000000 7b72e671ce000000 3c9879cbc75d03a7 3c9879cbc75d03a7
79c0b74410000000 7b28c44468000000 34915022330b1876 34915022330b1876
7ab0b5657c000000 7b2d225afc000000 3c1523ff9069dbe4 3c1523ff9069dbe4
7b82ab04fe000000 7b63e37822000000 40964b42b2e1b01f 40964b42b2e1b01f
7b2008ccca000000 79eb6793f0000000 49704912e2c6b4f9 49704912e2c6b4f9
*7b0ca7bc8a000000 7b78637f88000000 3c87b8ed7b2aa569 3c87b8ed7b2aa56a
7b4a8f4d7a000000 7b11fdc686000000 418cc71cd8ceea53 418cc71cd8ceea53
7b5c46f7aa000000 7ad5303488000000 44220cc8e3eec55c 44220cc8e3eec55c
7b2f6ab904000000 7ad1dd6868000000 42afd572c8974282 42afd572c8974282
7bb17dcce7000000 7bbcfd0340000000 3f836971d3a23f9d 3f836971d3a23f9d
*7b7aec7aba000000 7a7d0a45e0000000 47eedef3a247c088 47eedef3a247c089
7b649220c4000000 7b2a5733c0000000 415e0cbf331e155f 415e0cbf331e155f
7b283145ae000000 7a8f1b7524000000 44b37f1dbeb3c463 44b37f1dbeb3c463
7b92289d20000000 7b231dc068000000 432b16feb0868233 432b16feb0868233
7b06d04c0c000000 790e35b040000000 4f957cc4487fbca6 4f957cc4487fbca6
7a9ae9e850000000 7b9780c8dd000000 38170d625c55812f 38170d625c55812f
7ba8388acc000000 7ae38c5cd0000000 45ea0940bc77b5cd 45ea0940bc77b5cd
7b521f5222000000 7b574161a4000000 3fcf29a91029d9d4 3fcf29a91029d9d4
7bbd54c4b7000000 7b8fca2326000000 41445322430e6fa1 41445322430e6fa1
*7b832179af000000 7b4b1a9f06000000 412a41f528898c1d 412a41f528898c1e
7b115a74b4000000 7b58624580000000 3d5fb8598f9aeaea 3d5fb8598f9aeaea
7b225c00aa000000 79403fe220000000 4ec195e23e683bf7 4ec195e23e683bf7
7b77f68bcc000000 7b289ef408000000 41e1d41cb8740bb1 41e1d41cb8740bb1
7b571e9d28000000 7b09510082000000 424432337f34cdd0 424432337f34cdd0
7b01013988000000 7af2f53ba4000000 403f703bc403f30c 403f703bc403f30c
*7b1554fea4000000 7bbc7be2ab000000 3a5696cc3fdcf7dc 3a5696cc3fdcf7db
*7a08664768000000 7bb639cde7000000 31fcf7b00049d7ee 31fcf7b00049d7ef
7b812462ec000000 7b231acb64000000 42558dee2a297e25 42558dee2a297e25
7b65ee39a0000000 7b179dc47c000000 4210ed1ed2d2a6f1 4210ed1ed2d2a6f1
7b8e09485d000000 7b8403e5be000000 404dbae012759ea7 404dbae012759ea7
78822c0940000000 7b8f2dab49000000 2745f57b9a950eda 2745f57b9a950eda
7b2b2296e0000000 7b69ff99ec000000 3dd9ced25053188b 3dd9ced25053188b
7b35f91b0e000000 7b4735279a000000 3f4ed0e9d9da2cfb 3f4ed0e9d9da2cfb
*7b72076568000000 7b3a0a7b5a000000 41342ab884071d7a 41342ab884071d7b
7b65907e2c000000 7b1d29fc48000000 41d7b9566ef2fda6 41d7b9566ef2fda6
7b240a1546000000 7b1b89993a000000 4037f92690f79d16 4037f92690f79d16
7bb22f91f1000000 7b16117aae000000 44bfdc9c14a45abb 44bfdc9c14a45abb
7aab282928000000 7ba4f7d107000000 38266a7117500746 38266a7117500746
7b999dbb7b000000 7b799e29da000000 40ec59a1e7ca36b2 40ec59a1e7ca36b2
7b65793b48000000 7b179a9ad8000000 420df74a596575c1 420df74a596575c1
7b0fafa488000000 7b9d86a7ef000000 3b4c112efea7f4bb 3b4c112efea7f4bb
7b618a3ce6000000 7b42eb1b7e000000 40a0deb89b896909 40a0deb89b896909
7a52ade6e0000000 7b4703782e000000 383c065302ede250 383c065302ede250
7ab50b6cac000000 7b445b1e42000000 3b604d7130256d8c 3b604d7130256d8c
7804320300000000 7a703fccf0000000 2c66e598eeddf93a 2c66e598eeddf93a
7b074639c0000000 7b9b5e20e3000000 3af722f49910e38d 3af722f49910e38d
7b8189b5b6000000 7b61cbf018000000 4096ec5013208ccd 4096ec5013208ccd
*7b7b176008000000 78a4866f00000000 561ac91aeb41d9cb 561ac91aeb41d9cc
79eedf1aa0000000 7b025d99c8000000 37544b997692025d 37544b997692025d
7a6f81d4f8000000 7a03bd3b00000000 4345ac62c7ff2df3 4345ac62c7ff2df3
7ac85313c0000000 7a5bdf5518000000 4349ebe572694014 4349ebe572694014
7b9309c11d000000 7b02056d36000000 448604dd39c634e7 448604dd39c634e7
7b39556f10000000 7ac3e7eef0000000 43917a4559bf8eb2 43917a4559bf8eb2
7b22f4bbf6000000 79ebf7c780000000 498651abce877469 498651abce877469
7b18ebccc0000000 7b49083e30000000 3e15df2fdf54cc25 3e15df2fdf54cc25
7b1f846626000000 7ba9209de8000000 3b8ba0b22fec7580 3b8ba0b22fec7580
7b957e1ad5000000 7ba13c910f000000 3f6ad46d9838ecf2 3f6ad46d9838ecf2
7adf806980000000 7b43e8026a000000 3c903d8e0be68dfc 3c903d8e0be68dfc
79381604e0000000 7b87a24d74000000 2d6dccb50b94c7bd 2d6dccb50b94c7bd
7b8b149f1d000000 7a59f657e0000000 491ad1577d6d33c5 491ad1577d6d33c5
7ba8dede83000000 7b05e972fa000000 450b5295f7ab9836 450b5295f7ab9836
7b7a659862000000 7aba7e3aa8000000 455ee256dbcde707 455ee256dbcde707
7b152e0de2000000 798476b4e0000000 4c81392788c42c42 4c81392788c42c42
7b13bcb34e000000 7b66ebcae8000000 3d1e416ab68b115b 3d1e416ab68b115b
7b16784998000000 7b0e224bb0000000 403c0e481025b92d 403c0e481025b92d
*7ba215741f000000 7a2e995de8000000 4b6d32f754e5d7c1 4b6d32f754e5d7c2
7b1eb1224e000000 7b57e79b8c000000 3de14c721ad761a3 3de14c721ad761a3
7b89491132000000 7b9a94b5f3000000 3f1adb5d1b90a3c2 3f1adb5d1b90a3c2
7acc13ce78000000 7bab5e8551000000 38c371bfb7a4a3e5 38c371bfb7a4a3e5
7ac19f86c0000000 7897613140000000 511dc103353cf75e 511dc103353cf75e
7b97525317000000 7ae6c3cb88000000 453ef4484700eb85 453ef4484700eb85
7a6791d5c0000000 7b14ae8d6a000000 3a3add36bbd254a2 3a3add36bbd254a2
7b4a1ef066000000 7b1ab438d2000000 4139db49e9b987f6 4139db49e9b987f6
7b196996f6000000 7b78c39694000000 3ceeffc20b85d8e4 3ceeffc20b85d8e4
796161e960000000 7b534e0c66000000 3044389a8459a27b 3044389a8459a27b
7b0d722400000000 7b58350efe000000 3d3bd4a8e5f9121c 3d3bd4a8e5f9121c
7adc048a70000000 7b936ddd7b000000 39f82dd9e15c2ab5 39f82dd9e15c2ab5
7b50f8a592000000 7bbe0c31e8000000 3c65f6804d56cdf3 3c65f6804d56cdf3
7b7a29c75c000000 7abcd59324000000 454c923123f2cf8d 454c923123f2cf8d
7baa26b967000000 7bb416064b000000 3f8f04b7d15735af 3f8f04b7d15735af
7b05468488000000 7a15186180000000 4726b252f9a6a572 4726b252f9a6a572
*7b64447066000000 7bbfb825f3000000 3cc335451f42fb9d 3cc335451f42fb9c
7b03b0e1f4000000 7b9c48f19a000000 3abdb6b71c7f7cfc 3abdb6b71c7f7cfc
7b679c587c000000 790f7667c0000000 52752e1a4f8dba0f 52752e1a4f8dba0f
7abbaff728000000 7b4be0c8e2000000 3b5d5c6113814f57 3b5d5c6113814f57
7b0867b262000000 7b6188dd88000000 3cd6a5623a0ad14f 3cd6a5623a0ad14f
7b8239560b000000 7ae00815bc000000 44a67388c24c6a90 44a67388c24c6a90
7b6a804406000000 7ac4954f54000000 44c5836dd1e98c17 44c5836dd1e98c17
7a6f934f00000000 7ae5cfecd0000000 3c2b80c885e9e49c 3c2b80c885e9e49c
7ba1e9c29a000000 7a80aeffac000000 49086c93aba2989c 49086c93aba2989c
7b62ec0148000000 7b9729e49d000000 3e0131f4c5a2439d 3e0131f4c5a2439d
7b22a22782000000 79f686a840000000 4947113d7b904d04 4947113d7b904d04
7b213bbfa2000000 7b6675acb6000000 3d98d08d76a1e96e 3d98d08d76a1e96e
7baf942a70000000 7b0427c0ea000000 455077142cbc865f 455077142cbc865f
7b8a64baf8000000 7b80e53e31000000 404b74c2aa4a59fa 404b74c2aa4a59fa
*7b22f895f4000000 7b36053592000000 3f29aab91414fe6d 3f29aab91414fe6c
7b68402918000000 7b8110756a000000 3f32aefaa17ed353 3f32aefaa17ed353
7b9d167b3f000000 7b7d099f08000000 40f76a50597602cf 40f76a50597602cf
7b81f5b39b000000 7b5d258c72000000 40b388c9526aa8f6 40b388c9526aa8f6
7b330ed49a000000 7b6c2b904e000000 3e10bd31a61ddb92 3e10bd31a61ddb92
*7b5f467746000000 7b6d3bcb18000000 3f8780070d1fbc9d 3f8780070d1fbc9e
7b69352f56000000 7b6331de7c000000 401b19eb94141625 401b19eb94141625
7b4a61578a000000 7b1c4403f0000000 412e2f9f5b973baa 412e2f9f5b973baa
7b4f5d6eca000000 7b29a7ced0000000 40e39af855634cdc 40e39af855634cdc
7b097fcf0a000000 7b38929e20000000 3df5ad7646a59aab 3df5ad7646a59aab
7b0cd9ad4c000000 7aa7c24d28000000 42b77fbe956d9200 42b77fbe956d9200
7aa9ad4420000000 7ab86e382c000000 3f5c2b0185b33c7d 3f5c2b0185b33c7d
*7b7d88f566000000 7b5e56711a000000 408faec2e1c915e6 408faec2e1c915e7
79a64dd1b0000000 7b0a62a2b2000000 34ce9683d0f874dc 34ce9683d0f874dc
7b991bcbd8000000 7bb4d02e24000000 3ec6335bab4310d8 3ec6335bab4310d8
7b6699beca000000 7b97e0468b000000 3e12c98696c46a86 3e12c98696c46a86
7a8fed9ac4000000 7b7b637900000000 38948bf595ccfee6 38948bf595ccfee6
7b3a232fc8000000 7af45cca24000000 4218043fe93bf701 4218043fe93bf701
7aca07aa90000000 7b20bcee92000000 3d070e13f9f2f2e8 3d070e13f9f2f2e8
7b29eef228000000 7b2cfaa2ac000000 3fdbf0ccd900fd24 3fdbf0ccd900fd24
7b1c206794000000 7bb20910f9000000 3b03f9b7c3b08860 3b03f9b7c3b08860
7a1ca41ef8000000 77243cdc00000000 5449bd5eae86c683 5449bd5eae86c683
*7899e21080000000 7b5117f9e8000000 29e33b39f7bd789f 29e33b39f7bd78a0
7b2e1edf88000000 7b20ef7818000000 4053e505ba4b1347 4053e505ba4b1347
7b9a950ded000000 7b5547e748000000 41cc5ac426b9f929 41cc5ac426b9f929
7b2213b4f6000000 7a67e4b178000000 459768191fc810b2 459768191fc810b2
7b265fe130000000 7aa06528fc000000 44262c78400d10bf 44262c78400d10bf
*7b5ae8a478000000 7b5b89fd0a000000 3ffa1edc9e95802c 3ffa1edc9e95802d
7a15e9ef18000000 7bbe7e2cb7000000 324bbb6a6d7fc909 324bbb6a6d7fc909
79ac194b40000000 7b4bda5cf6000000 32c0fbaa0e4035f5 32c0fbaa0e4035f5
7b4d2eedec000000 7b706bcde0000000 3ed3d4b30f722e1c 3ed3d4b30f722e1c
7b27645a00000000 7b72a969b4000000 3d84be4f6189c60f 3d84be4f6189c60f
7adad04e9c000000 7b3ce7836a000000 3ca2216ed2bc4f76 3ca2216ed2bc4f76
7b3e83c6aa000000 7b9d4b8a9e000000 3cd8430dc43bd92c 3cd8430dc43bd92c
*7b2d53514a000000 7bb2f41056000000 3bbf97a2d081a7d5 3bbf97a2d081a7d4
7b96a03f78000000 7b8d5dbc4d000000 4043130ba6523cc9 4043130ba6523cc9
7b9167d20b000000 7b6bc4459a000000 40ef12b7acad3d5d 40ef12b7acad3d5d
7baaa946eb000000 7b5022f560000000 428f411c7a129b1b 428f411c7a129b1b
7aa358cc8c000000 7b02930cc6000000 3d0102b0b0828624 3d0102b0b0828624
7b6ade6dfa000000 7ae8f814b8000000 440859b68f98706e 440859b68f98706e
7adcaea4c0000000 7a8061f7a0000000 42e031f2ed46faae 42e031f2ed46faae
7ba24f7fdf000000 7b4003b8a8000000 42c32e70eef211be 42c32e70eef211be
7b42c40896000000 7a3df5b6e0000000 4819e82ce29fe5b3 4819e82ce29fe5b3
7a51ff0c10000000 7b988dad7c000000 3581945b753a8ddc 3581945b753a8ddc
7bb7d734c5000000 7af23d8584000000 461243d722fcbbf3 461243d722fcbbf3
7b711f13a0000000 7a49c9c880000000 48c799e97d9dee51 48c799e97d9dee51
7b289c307c000000 7a167b5a90000000 487b5bfe08e5d645 487b5bfe08e5d645
7ac71b9a30000000 7b8c254d03000000 39aed13fbdce860f 39aed13fbdce860f
7b1ebd9968000000 7aa959c170000000 437fb0d469ff76ac 437fb0d469ff76ac
7a957a184c000000 7ba3ace4f2000000 374e574e95cf09b7 374e574e95cf09b7
7bbd25dbaf000000 7b2e4ad940000000 445747629f22a85d 445747629f22a85d
7bafd231f5000000 7b8c84a863000000 4101435c08df0c07 4101435c08df0c07
783dee7e00000000 7b2a617010000000 287580be8abdc600 287580be8abdc600
7bb0318d55000000 7afa86e2ac000000 45a0578ed73fe5f2 45a0578ed73fe5f2
7ab15892a0000000 7b40077e94000000 3b6366f2a6f8a651 3b6366f2a6f8a651
7b164cc21c000000 7badd201a5000000 3aeadff6cb297984 3aeadff6cb297984
7ad4d1dd48000000 7b76afdcc6000000 3ae6d581a6df16b3 3ae6d581a6df16b3
7b58e774a0000000 7b431537f6000000 40728a713ee26e66 40728a713ee26e66
7b36b75b5a000000 7bb79a1b5f000000 3bf61eb3a9c1cd6b 3bf61eb3a9c1cd6b
7b8f5c9da0000000 7b90902500000000 3feefb4adbaf4d95 3feefb4adbaf4d95
7acbc82708000000 7b77a0afe0000000 3a955fb3e3711d75 3a955fb3e3711d75
7b643581f6000000 7b8e4db770000000 3e6a2a5e7884d5bf 3e6a2a5e7884d5bf
*7acda9cd3c000000 7b81775e9b000000 3a5aac1376cf41fa 3a5aac1376cf41fb
7b9edddc70000000 7b4cb8fa24000000 4235447035fd7a1d 4235447035fd7a1d
7b7a8f6d16000000 7b90f89d6b000000 3ee9d37064d3977b 3ee9d37064d3977b
7b69546904000000 7bb0b229db000000 3d48353171ef1056 3d48353171ef1056
*7b926ffc06000000 7b938810f2000000 3ff0cff78ccbfdf1 3ff0cff78ccbfdf0
7b970ea6ed000000 79fb7b2910000000 4cce2c40139fa973 4cce2c40139fa973
7ba480ae5d000000 7b8bb8db6f000000 40b59cd46615f667 40b59cd46615f667
7b00d3b8d8000000 7bb6f0aa63000000 39a235639723e754 39a235639723e754
7b9f40ec61000000 7b2ef106b2000000 434858688637815c 434858688637815c
7b0d50b9e8000000 7b0783357c000000 402bd94e5e3003bd 402bd94e5e3003bd
7b4662bd90000000 7b87123961000000 3ddfffcd1bf75a63 3ddfffcd1bf75a63
7b75648a42000000 789c92ca40000000 5644e25561a16b95 5644e25561a16b95
7b3d157974000000 7b02b5442a000000 41c954b2380e0bb3 41c954b2380e0bb3
7b0c67cbce000000 7b03783706000000 404599ae2c601a10 404599ae2c601a10
7b10d9b6ec000000 7b01cc5610000000 4076bff52f0e2ad3 4076bff52f0e2ad3
*7b085ccd58000000 7ba6f79831000000 3a889b5725d662b4 3a889b5725d662b5
7b8240cd1e000000 7b8a624c94000000 3f87ab1410dfde09 3f87ab1410dfde09
7b51676768000000 7b155b5128000000 419bb019953cb82e 419bb019953cb82e
7b1690ef38000000 7ab3886980000000 42b590cf5de73207 42b590cf5de73207
7b634a818a000000 7b1b128974000000 41dce2d745fe7db1 41dce2d745fe7db1
7add119bd0000000 7b34b1e8f2000000 3ce4cc7fd555ceed 3ce4cc7fd555ceed
7b9836ed4f000000 7b828cde91000000 40a9edbb8406f528 40a9edbb8406f528
7b873b0eda000000 799dc2e140000000 4edb8434248b4b79 4edb8434248b4b79
7b202c4696000000 7af9fdd738000000 41202e5c3e928e53 41202e5c3e928e53
7b486a451c000000 7b509a2134000000 3faf9f671e74ee81 3faf9f671e74ee81
7b0218a8be000000 7b56e062d0000000 3cd7f491262b748d 3cd7f491262b748d
*7b64527d5e000000 7b912277ab000000 3e4aee75df3e4702 3e4aee75df3e4703
7aa7be9cd8000000 7b2cbcc27a000000 3bc4ce18a39f2eae 3bc4ce18a39f2eae
7b72df108a000000 7b55f7f72c000000 408a523a63383fa7 408a523a63383fa7
*7b039d254a000000 7b57318de8000000 3ce4921a3866d356 3ce4921a3866d357
*7b783ce682000000 7b577c73b6000000 409ba34855b01fe1 409ba34855b01fe0
7b03ee5062000000 7b6b1bf70c000000 3c7d3acb84d55fa3 3c7d3acb84d55fa3
7b2d746ae2000000 78f16eb580000000 51bf5d847744572f 51bf5d847744572f
7b424d84f6000000 7b25b15164000000 40b0d06c8b89b770 40b0d06c8b89b770
7b5f07e964000000 7a8c77aab0000000 4659e2113aa62bd2 4659e2113aa62bd2
7b10cd4872000000 7b0c7c5446000000 401f760223e963cf 401f760223e963cf
7ba6e3a583000000 7ba98d66b4000000 3fdfd52d61fb8c1f 3fdfd52d61fb8c1f
7b9916d2d6000000 7b96679a35000000 401246ce896ce448 401246ce896ce448
7b1956a2c0000000 7b42faee1e000000 3e4a9c33ef444aec 3e4a9c33ef444aec
7b394b88b0000000 7b671dedc6000000 3e69f5433a0a8513 3e69f5433a0a8513
*7b8b0addc3000000 7b6b792e5c000000 40b94de0b9677d49 40b94de0b9677d4a
799f691180000000 7b2f6c5e46000000 33450e3e62d7c4ee 33450e3e62d7c4ee
7b2e741c7a000000 7b4d38aae2000000 3eccf428550c9250 3eccf428550c9250
*7b168a4c0e000000 7b4489d800000000 3e20aea66557fde9 3e20aea66557fdea
7b38b1d93e000000 7b2a776e3e000000 4055782aab6abcd4 4055782aab6abcd4
7bb9fb1b24000000 7bb392fadd000000 4024889f4eeca685 4024889f4eeca685
*7aef603240000000 7b8540412b000000 3b2f8ab8dc89de49 3b2f8ab8dc89de4a
7a2ebf36e8000000 7b9830f930000000 3497c340e931bc1a 3497c340e931bc1a
7b34f7f094000000 7b1fa60402000000 4088bf9f3641be6f 4088bf9f3641be6f
7b1787e81a000000 7b965404db000000 3c083141fd8ebb4f 3c083141fd8ebb4f
7b2a268658000000 7b4337b5d4000000 3ef9063a8305ace2 3ef9063a8305ace2
7bae84fe0b000000 7baf8f3b77000000 3ff3de2976e550cb 3ff3de2976e550cb
7bb16edcec000000 7b7491e430000000 41cdcdcf215b529a 41cdcdcf215b529a
7b0bc680a4000000 7950440300000000 4d5e7e97ddc19ebc 4d5e7e97ddc19ebc
*7b37c99a04000000 7b68d07cba000000 3e50b98aaf38fddc 3e50b98aaf38fddb
7ad8456e3c000000 79d53a9ee0000000 480e9c1e0c15c57d 480e9c1e0c15c57d
7b5d6260ea000000 7b77e937c2000000 3f24dcae4051a8bd 3f24dcae4051a8bd
*7b93d5ca07000000 7b152bfaee000000 43eda6033cd0613f 43eda6033cd0613e
7b60b9b47c000000 7b13ce4b2c000000 4214e641482188fd 4214e641482188fd
*7b2fd34ecc000000 7b3e1c1566000000 3f661e8d14b73a00 3f661e8d14b73a01
7b0bb782f0000000 7b577ee2da000000 3d2fd2b3fc0b7692 3d2fd2b3fc0b7692
7ba9a4082b000000 7ad8e26ed8000000 4641e2d262a02dde 4641e2d262a02dde
7ad69a5c0c000000 7b818daf91000000 3aa03ccd36de60cb 3aa03ccd36de60cb
7b9546a66a000000 7b7704b0f6000000 40d5a12ff6d3b864 40d5a12ff6d3b864
7b5a9a41fc000000 7bbeeaae95000000 3c947ee2d78d6401 3c947ee2d78d6401
7bb1baf432000000 7a8bcee004000000 4915c11fcac33ae9 4915c11fcac33ae9
7b00f0bc4c000000 7b0e033538000000 3f437ba4b75d35ee 3f437ba4b75d35ee
7b9e76107d000000 7badc57f24000000 3f4b8f29c51e459c 3f4b8f29c51e459c
7b85ec4931000000 7b2062095e000000 42ae1f04ee89cb49 42ae1f04ee89cb49
*7b21726e4a000000 7b0cc94eae000000 4096461488060e59 4096461488060e58
7b97329f4b000000 7b5e5e8f44000000 4170842c6a0f2682 4170842c6a0f2682
7b68544cf6000000 7b9d1ee87c000000 3dea27c57c916424 3dea27c57c916424
7afd813144000000 7b09c6bb40000000 3f5c21dfb77bb412 3f5c21dfb77bb412
7b47071fa6000000 7b2d25d738000000 40990e11c67cc0f0 40990e11c67cc0f0
7bb412a543000000 7b2f5b6c9c000000 441b89953d9fe19c 441b89953d9fe19c
7b6763a830000000 7b66e5e328000000 40022dc54902e51d 40022dc54902e51d
7a64889f70000000 7b2e6ac7d6000000 393db7ae1b44e3a8 393db7ae1b44e3a8
7b140bba62000000 7b21477262000000 3f57f51b324e1584 3f57f51b324e1584
7abb8c68e4000000 7af6dec524000000 3e13e01dc9ca7abc 3e13e01dc9ca7abc
7b082d558c000000 7b16e85c50000000 3f381698b83be455 3f381698b83be455
7b94ed1535000000 7b8e1c87f7000000 40311a9032323085 40311a9032323085
7a60bf3ac8000000 7b07a05edc000000 3aa0df9abf635e1f 3aa0df9abf635e1f
7b17a87280000000 7b405d243e000000 3e4ea029a468d647 3e4ea029a468d647
*79f445d960000000 7b41829cea000000 350c9f07363df146 350c9f07363df147
7b5c96342c000000 7bab5c44f0000000 3d26297d796d7d67 3d26297d796d7d67
7ba4917de4000000 7bba1f5357000000 3f12d470b5c7e95c 3f12d470b5c7e95c
79b8ad70f0000000 7acf576de8000000 3720234e5bc7aabc 3720234e5bc7aabc
7a57050e60000000 7b73abe24c000000 370f3086eea35dea 370f3086eea35dea
7b3e6440d4000000 7afdc87ec0000000 4200700676e08ee1 4200700676e08ee1
*7bb3f5447d000000 7b5579eef4000000 42be70c67596bf6c 42be70c67596bf6d
7b668ff656000000 7b1dabcc92000000 41d965089dc24239 41d965089dc24239
7b4925d140000000 7b24f4372a000000 40e0aeef21c446ed 40e0aeef21c446ed
7b8e4805fa000000 7b98882d1d000000 3f765e4be638b591 3f765e4be638b591
*7af4dc4c3c000000 7b81900125000000 3b8f42a05cef11d4 3b8f42a05cef11d3
*7b4ebc26cc000000 7a8727eebc000000 461e502e134c8021 461e502e134c8020
7b28143974000000 7b6b4c32c0000000 3db6f00b453f68cb 3db6f00b453f68cb
*7ae948a330000000 7b91412fca000000 3a6c93eb25e49ded 3a6c93eb25e49dec
7b6e6c350a000000 7ba1b03c33000000 3de5f895c05943eb 3de5f895c05943eb
7acc2cade4000000 7b16806e80000000 3d6d2f6a33166292 3d6d2f6a33166292
7a3ab2ac98000000 7b5abaa88c000000 36d41614e438d527 36d41614e438d527
*7b3902ce14000000 7b8e8c7019000000 3d310773e2648866 3d310773e2648865
7b8f0d9079000000 79f8c92830000000 4c999c0fe16365f3 4c999c0fe16365f3
7b33994eb2000000 7a998f9830000000 44ada1657d5cc84c 44ada1657d5cc84c
7b79d3cd92000000 7bb64e0e63000000 3d7b4568788c427e 3d7b4568788c427e
*7ad3c15894000000 79bf777420000000 486c81ed7f8c88f7 486c81ed7f8c88f8
*7b0bb541cc000000 79ebcfd7e0000000 48bd5946d36a28fe 48bd5946d36a28ff
*7bb25b5d4f000000 7b05890f60000000 4557b550f4c2f08e 4557b550f4c2f08f
7b0a1617c2000000 7b4e9766e8000000 3d58e39e7f15e1f3 3d58e39e7f15e1f3
7b1d77fde4000000 7b8ae5acc8000000 3c88ea89b29ade67 3c88ea89b29ade67
7b6c1161e6000000 780bae2080000000 5ac29f1b274fcec3 5ac29f1b274fcec3
7b1b5468f0000000 7aec4ef350000000 41422fda85c31b70 41422fda85c31b70
7b50c61f6c000000 7a63319b50000000 4759f510953dd036 4759f510953dd036
7b0bf2d338000000 7b3cd78152000000 3dedbfe8aded38a5 3dedbfe8aded38a5
7b9d7d8fac000000 7a1d1cf0a0000000 4c0275bd24843c7a 4c0275bd24843c7a
7a4bfbebe8000000 7b85e09f62000000 36183b1263fb26b3 36183b1263fb26b3
7bb009d17f000000 7b3ef1ce34000000 43601f25756bc6a9 43601f25756bc6a9
*7b4898c016000000 7b1b0ec256000000 412cbd5180e68b47 412cbd5180e68b48
*7b66390a5c000000 7b7b97bb10000000 3f520bcf92ac7d98 3f520bcf92ac7d99
7b26d0011a000000 7a8c995ab4000000 44beea7bfe92ff05 44beea7bfe92ff05
7b3a898944000000 7bb7b46098000000 3c0fca27fe2d1f13 3c0fca27fe2d1f13
7b615b6fb0000000 7b20c293a2000000 419b7783c69b82c0 419b7783c69b82c0
7ad600f880000000 7b082b70ca000000 3e49508fdf81c753 3e49508fdf81c753
7b670f40fc000000 7b92c502c3000000 3e4c15bd0cf735c2 3e4c15bd0cf735c2
7b779431fa000000 7b486ab0ae000000 40f0f7cd278f8cb3 40f0f7cd278f8cb3
7b464c9928000000 7bb14a571e000000 3c7957edd7b6fa1c 3c7957edd7b6fa1c
*7aa12c42f0000000 7b2d5bda24000000 3b700a40393eeb11 3b700a40393eeb12
7b081eb3c2000000 7ba41529ba000000 3aa2fb93836b6887 3aa2fb93836b6887
7aeb8d15a4000000 7ba735a675000000 39a286f02717c73c 39a286f02717c73c
7b2abf01b0000000 7b465cac4c000000 3ee2e0d1bfa2372f 3ee2e0d1bfa2372f
7b7bc7270e000000 7b996edab9000000 3e9058a4c11a379c 3e9058a4c11a379c
7b87437fe0000000 7bb8c6d8f1000000 3ddb36ef5aa5de77 3ddb36ef5aa5de77
7b0149025c000000 7b39460170000000 3d951c0cb4248f8e 3d951c0cb4248f8e
7b9bf52f17000000 7afa2052d4000000 44fcf600d499063b 44fcf600d499063b
7b6c23b6e2000000 7ba338aef7000000 3dc977191190d130 3dc977191190d130
*7b6e9ddb4c000000 7bb6b65c9f000000 3d394fe1d6001e95 3d394fe1d6001e96
7b7fb75f5e000000 7a1a20e5e8000000 4aa2edfc9c105f74 4aa2edfc9c105f74
7b6a7ce2a8000000 7b6bdb1640000000 3ff41f1ae0bd2ccf 3ff41f1ae0bd2ccf
7ad9f32ed0000000 7b591abdf6000000 3c03fcdeb0cbac00 3c03fcdeb0cbac00
7baca3e7bf000000 7ad961ed8c000000 465a79969b98539c 465a79969b98539c
*7b7fa2f770000000 7a8789644c000000 478b5f6dfb3d2a9f 478b5f6dfb3d2a9e
7ab1181a0c000000 7bb64e476d000000 37c574058f8d1be3 37c574058f8d1be3
*79e6fb80e0000000 7b31d3dc84000000 35321610c3d2e4e0 35321610c3d2e4e1
7b594d85a0000000 7b8e4133c7000000 3e1c391fc59d01ed 3e1c391fc59d01ed
7b75988eac000000 7b98ecc954000000 3e6c88a0b0cd7e58 3e6c88a0b0cd7e58
7b091ef668000000 7993bff640000000 4b6cab80e3e64915 4b6cab80e3e64915
7b63ad6f2c000000 7ac4d8f810000000 44a06091b393fade 44a06091b393fade
7a3be99958000000 7b5945fdd8000000 36eb3f250bf088fa 36eb3f250bf088fa
7b14460eb0000000 7b38195cbe000000 3e717652a046baac 3e717652a046baac
7b6bbdfca2000000 7bbbf9beef000000 3d04358b26500c0b 3d04358b26500c0b
7b8d42ec64000000 79d5c317c0000000 4d4963bfcd658f6d 4d4963bfcd658f6d
7aa272f76c000000 7b2ecbe778000000 3b6f54ee774a2d41 3b6f54ee774a2d41
*7b52d1bfb8000000 7a73dde160000000 46ea77902ff366e2 46ea77902ff366e3
7a1afd9558000000 7b6d579880000000 35396596b8739c71 35396596b8739c71
7babd7eda9000000 7bbbfc7087000000 3f5022accf58fca8 3f5022accf58fca8
7b1c237ff8000000 7b9540cd85000000 3c2f3d8f6e7aea27 3c2f3d8f6e7aea27
7b34f05966000000 7b42e2e550000000 3f6d6e3af0627645 3f6d6e3af0627645
7b8bec99c5000000 794a034b80000000 518a8c198a9f7be1 518a8c198a9f7be1
*7b9d6de32f000000 7b33fcb382000000 42ff52bf8c0e8e42 42ff52bf8c0e8e43
7a5c873f08000000 7b6fcc1fc4000000 375b6e899921eeef 375b6e899921eeef
*7aedbf31a4000000 7a9df60698000000 4205386cab75b58f 4205386cab75b590
7b7c6c547e000000 7b31bb5f30000000 41ae5522bdf66de5 41ae5522bdf66de5
7b817bdb68000000 7a4e389100000000 4905ea85b6930bb6 4905ea85b6930bb6
7b21877ef6000000 7af3ae9f44000000 414d8e2cbf668ec7 414d8e2cbf668ec7
7b0289278e000000 7b1df3d374000000 3e9c846993eefa1d 3e9c846993eefa1d
7b95c9576a000000 7b0580de5e000000 447ce5176595bf68 447ce5176595bf68
7b5181f7b4000000 7b4d1a2dca000000 4015fea22afa03dd 4015fea22afa03dd
7b7f582e00000000 7aa8163e84000000 461394a6a0e34e9a 461394a6a0e34e9a
7bb587009f000000 7a2bab7350000000 4c3accd775cc5eac 4c3accd775cc5eac
7b598bfda0000000 7a723fe3c8000000 472f292df299cb41 472f292df299cb41
7b78050a9e000000 7b58e42ba2000000 4092f71b08c9ca4f 4092f71b08c9ca4f
7b109b1836000000 7ac62617b8000000 41d6990865d582be 41d6990865d582be
7873c21d80000000 7ad44e2bac000000 2c97b4794aa15df4 2c97b4794aa15df4
7b1fa304d0000000 7b7bd41316000000 3d123fe852ea2cd4 3d123fe852ea2cd4
7a861682f0000000 7b981f0e83000000 370d37bba784f05c 370d37bba784f05c
7abdce3de4000000 7ba36d24f8000000 38a549b098d9d517 38a549b098d9d517
*7b1a6532dc000000 7b7e8a23c2000000 3cda3fc2820e1767 3cda3fc2820e1768
7ba1572e83000000 7b5d707456000000 41d42bfe8ca733aa 41d42bfe8ca733aa
7a3b210320000000 7b21957bf8000000 38a1e2b74b9f761f 38a1e2b74b9f761f
7b92255f23000000 7b492d73a6000000 41cfc6769416f025 41cfc6769416f025
*7b201f9fbc000000 7bb37c8da6000000 3b230fd1325ffeb8 3b230fd1325ffeb9
7b269de7fc000000 7b4ee7e084000000 3e7135fd3cbdd96f 3e7135fd3cbdd96f
*7908e97440000000 7b4ae8a642000000 2d65e154138d8590 2d65e154138d8591
7b1815542a000000 7b28ae3700000000 3f367d058832a692 3f367d058832a692
7b98f0e0c7000000 7a7accf098000000 48e0e495d7d2c148 48e0e495d7d2c148
7bbbcb0bc3000000 7a69c16348000000 4a6d4f9e33db0292 4a6d4f9e33db0292
7919be2680000000 797ab6bb00000000 3ce7e0057c5fd0ed 3ce7e0057c5fd0ed
7b631e8fd4000000 7a6df68fd8000000 47a2acb3b1cb226c 47a2acb3b1cb226c
*7ba72f53ec000000 7bad5a53ad000000 3fb7219e4989c766 3fb7219e4989c767
*7bbaf6fe65000000 7aaff51480000000 48400f1bc73fc352 48400f1bc73fc353
7ad4c02614000000 7bac86464f000000 38eec1e242c97055 38eec1e242c97055
7b13782e38000000 7b38bebae2000000 3e62c79c18ec5734 3e62c79c18ec5734
7b33c133f8000000 7b84b31581000000 3d6b1c0d1484bde3 3d6b1c0d1484bde3
7b885ca292000000 79f10a3810000000 4c8699d8832951f7 4c8699d8832951f7
7bb1395bd0000000 7b2431735c000000 4451449464d03a94 4451449464d03a94
7b56e001e6000000 7b8b19f5cc000000 3e2dcf6bdfae47bc 3e2dcf6bdfae47bc
7b2d979e5e000000 7b6799470a000000 3dff0e3d74c29f79 3dff0e3d74c29f79
7a5154ca68000000 7b88052aff000000 3627e82fd51f7448 3627e82fd51f7448
7b0bcaba66000000 7933534800000000 4e3c815d49780d76 4e3c815d49780d76
7b931f20cb000000 7b9cb12c62000000 3f82e95e8c269566 3f82e95e8c269566
*7b72ce7b8a000000 7ab526e860000000 455c840100bf9db4 455c840100bf9db5
*7ba4b65761000000 7afd326be0000000 4534492ee124d42b 4534492ee124d42c
*7bb2e45459000000 7bb7d5782c000000 3fc8f1b7720bfea5 3fc8f1b7720bfea4
7b37fb8eb4000000 7ab12e4878000000 44274f88b4453f50 44274f88b4453f50
7b4a3e6488000000 77683d7600000000 5c7dc678e081fb69 5c7dc678e081fb69
7b57305a2e000000 7a401c34e8000000 487b0409a385ff31 487b0409a385ff31
7ae2265b9c000000 7b0f2be8e2000000 3e517b84f4e3f267 3e517b84f4e3f267
7b089e3178000000 7b9da8c92c000000 3aeeabf9f9526f2d 3aeeabf9f9526f2d
7b1094265a000000 7bafe745d3000000 3a934b546f5466c1 3a934b546f5466c1
7ad6b13f24000000 77a7542800000000 580ea625b72c2e87 580ea625b72c2e87
7b6efa7488000000 7b73f6d10a000000 3fd6255be148bd32 3fd6255be148bd32
7a73e39a00000000 7aff1d35c4000000 3ba5e34d5a863a0e 3ba5e34d5a863a0e
*7aa78ab960000000 7b28518614000000 3bf68d1f7fd9f4ce 3bf68d1f7fd9f4cf
7b0135ef0c000000 7ba15fe89d000000 3a67cee915314eca 3a67cee915314eca
7b1c48571e000000 7b3e2ed58c000000 3e92f0f4eeae8c42 3e92f0f4eeae8c42
7b424e6c1c000000 7b700db3d0000000 3e79b606af75e317 3e79b606af75e317
7acd00b740000000 7a8708b650000000 4212979f90e4c8f6 4212979f90e4c8f6
7b9966c285000000 7b81645960000000 40be024e12bfd6db 40be024e12bfd6db
7b80d9985b000000 7b751bf126000000 403499dcf7ae844f 403499dcf7ae844f
7b72d66690000000 7ad06718ac000000 44a9328eaa1183e2 44a9328eaa1183e2
7b9c502628000000 7b92d1f5cd000000 4042356625ab5ba0 4042356625ab5ba0
7ad5f87e2c000000 7b9d29be83000000 397221a7a10dfa36 397221a7a10dfa36
79d5fee600000000 7abba54b4c000000 388fcb6484b43591 388fcb6484b43591
7b2287095c000000 7aa6c05220000000 43cc1feb4cc70749 43cc1feb4cc70749
7b03769140000000 7b86c1a43a000000 3bcdf32260ca5b07 3bcdf32260ca5b07
7b0db3a616000000 7b1e366e02000000 3f2a45fc47e92055 3f2a45fc47e92055
*7ad6abdc34000000 7b981d57ba000000 39a51dfb490e6350 39a51dfb490e6351
7b71969712000000 7b6ecc7f5c000000 400bf61ef0e225d4 400bf61ef0e225d4
*7b9edefbf4000000 7bbfa51e95000000 3ea1c371b5d21194 3ea1c371b5d21193
7b0d02ed5e000000 7b2913e602000000 3eac0a148e8091d3 3eac0a148e8091d3
7b2f84ec9e000000 7afd3308e0000000 418baf6e0f8c9c07 418baf6e0f8c9c07
7b17e0655e000000 7b6d42e486000000 3d1ef91954b04d9f 3d1ef91954b04d9f
7b7de3c19a000000 7a138d4af0000000 4ae1f9fc6bb93123 4ae1f9fc6bb93123
7b1656ca88000000 7b2d68ae38000000 3eef8a0e8dd6fd25 3eef8a0e8dd6fd25
7b237cd72e000000 7b971b97f4000000 3c53e4c80515112f 3c53e4c80515112f
7b1aab92be000000 7b216098c8000000 3faae1ad1734d099 3faae1ad1734d099
7aa6350548000000 7b98812ea4000000 385c018f2e882089 385c018f2e882089
*7ba764a381000000 7b769759d2000000 416e3d95c691fcde 416e3d95c691fcdf
7baf9cc698000000 7b6974d9c0000000 42048ff411898fa8 42048ff411898fa8
7b17f7f29c000000 7b32540fd4000000 3ed145bdf65543fe 3ed145bdf65543fe
79d3b9b840000000 7b66c1399e000000 33571c4eba85fc83 33571c4eba85fc83
7b28eb69a8000000 7af3618870000000 418d6c40f8a00b6e 418d6c40f8a00b6e
7b5036135e000000 7ba071ae22000000 3d30dd1ff02fd89e 3d30dd1ff02fd89e
7b96026a07000000 7b6aad4a68000000 411d1db421c1b282 411d1db421c1b282
7b93d24af1000000 7b54f03db6000000 418db7b83e7b0f68 418db7b83e7b0f68
7ac8bc1d40000000 7b77daa940000000 3a7aa817146f4043 3a7aa817146f4043
*7b8ae9ccfd000000 7b1062e2ae000000 43b25ec534a2625e 43b25ec534a2625f
7b6287f3a8000000 7b9ebc17ee000000 3db55ae1ac8f684e 3db55ae1ac8f684e
*7acaa640c8000000 7ba3730125000000 38f5964051b52103 38f5964051b52102
7a554b6470000000 7af64d74bc000000 3aed89eb7e28a628 3aed89eb7e28a628
7b5748e4f8000000 7b8bed6663000000 3e2778c4fe9ae281 3e2778c4fe9ae281
7b389eea3a000000 7b9e4e02af000000 3caa39ea846b4f52 3caa39ea846b4f52
7baf606788000000 7b6df1c358000000 41e579bde9f6888c 41e579bde9f6888c
7b37c2bfbc000000 7a5826d020000000 46cd1a184db12304 46cd1a184db12304
7b82e6480f000000 7bb830d4fc000000 3daf75bc836346f9 3daf75bc836346f9
7ae54b72cc000000 7bb246af97000000 39250bb33e0aefff 39250bb33e0aefff
*7baf29b6a8000000 7b95343c92000000 40b2287837d24e68 40b2287837d24e69
7b1a971336000000 7bb20ffeb7000000 3af207ec090dd41a 3af207ec090dd41a
7b1aca231c000000 7b869e664f000000 3c996ed4fa5a99ff 3c996ed4fa5a99ff
7b48ad5c9c000000 7b791d906c000000 3e71c8dc6d06169c 3e71c8dc6d06169c
7b9bd2a2e1000000 7ac688dfa4000000 46476702b28b6329 46476702b28b6329
7bae9ec6ed000000 7b526f68de000000 42a370eba1301b37 42a370eba1301b37
7ae10278e0000000 7b92f5753b000000 3a1fda79c31e45c5 3a1fda79c31e45c5
*7b4b8cf94a000000 7b28268232000000 40d79477ebbb99f0 40d79477ebbb99f1
7b092f5a48000000 7b94654392000000 3b65487e2375063f 3b65487e2375063f
7af52bd620000000 7b79b096ba000000 3bdaf01cb783236b 3bdaf01cb783236b
*7ba75ab8cd000000 7a8c45c8b4000000 48c5b2f1abfc6058 48c5b2f1abfc6057
7b21d718ec000000 7b57e4cbe2000000 3dff3d88c949bfa3 3dff3d88c949bfa3
7adddad6fc000000 7b8e3681ff000000 3a3d7597579edecd 3a3d7597579edecd
*7b5195629c000000 7b3da2dd18000000 406bb6435136bad8 406bb6435136bad9
7ac51fd0b0000000 7bb9b63dc5000000 383eed515351514d 383eed515351514d
7b1587a8fc000000 7b517d53d8000000 3db5d372f74b6956 3db5d372f74b6956
7b0fd97f88000000 7ace3a1730000000 41948af7c3c3848c 41948af7c3c3848c
*7b0f2030f0000000 7b726967e0000000 3cb9304c374a7816 3cb9304c374a7817
7b5a898722000000 7a129f67b0000000 49f63eb1d4390de3 49f63eb1d4390de3
7b43e6bbb8000000 7ad4c60d54000000 435d99776804fbfe 435d99776804fbfe
7b0bc4e586000000 7ba9837655000000 3a98a39eebaae7fd 3a98a39eebaae7fd
7bae663746000000 7acc9cd950000000 46d196dd71cb0c0f 46d196dd71cb0c0f
7aef5d8d2c000000 7a02cca910000000 4751f0658e47fad0 4751f0658e47fad0
7b50b17354000000 785ceb0700000000 578eab8e3e86a609 578eab8e3e86a609
7ae7742ddc000000 7b96bef0f0000000 3a243d81ccd817b4 3a243d81ccd817b4
7bb4e10c63000000 7b6b68dd86000000 4225995ffb8e56e6 4225995ffb8e56e6
7a9c621848000000 7b9d39c60d000000 37f506990da859f9 37f506990da859f9
7b6edc89a2000000 7b41eb2314000000 40ed530f314934e4 40ed530f314934e4
7bb3f8b6fd000000 7ab13d44d0000000 480fc90c5f3399d6 480fc90c5f3399d6
*7b2d54009a000000 7b36227a1e000000 3f9cf9b997d5f9a2 3f9cf9b997d5f9a1
*7b13122e82000000 7b1c308a3c000000 3f886f44bcf88257 3f886f44bcf88258
7af01b3a64000000 7b7b039c7c000000 3ba70136b43f32eb 3ba70136b43f32eb
7b74cf2ca6000000 7a15867730000000 4a8c8998efe8dbc7 4a8c8998efe8dbc7
*7b3126169c000000 7b07e15b28000000 4137004b7ef3bf3c 4137004b7ef3bf3b
7b4192280a000000 7a24cecf38000000 48b2b6a806403c19 48b2b6a806403c19
7b02e4f7a6000000 7b366154b0000000 3dbdd9e3015d1dd3 3dbdd9e3015d1dd3
7ba74aa8ce000000 7b9a058721000000 4058398d50a709f5 4058398d50a709f5
7a790abf60000000 7b8813bcd3000000 375213c33ec50651 375213c33ec50651
*7aba7d8420000000 7b4127a77e000000 3bb956019522606d 3bb956019522606e
7b4688ce56000000 7b2bd413ac000000 409f2707a471d231 409f2707a471d231
7b7532b5c0000000 78996fc5c0000000 5664650398f2df08 5664650398f2df08
7b3bfb8d52000000 7ba938bbe9000000 3c7186fca34d1089 3c7186fca34d1089
7b45f325ae000000 7b02845ba8000000 42110f01d7abe566 42110f01d7abe566
7b7e458b7e000000 7b3b25db6e000000 416f466b24394ae0 416f466b24394ae0
7b074fd9d8000000 7b3a4118d2000000 3dcfda1bb032948b 3dcfda1bb032948b
*7959753400000000 7ba6a17fc3000000 2d38591731cd961a 2d38591731cd9619
7a7315d1e8000000 7b85ee6f60000000 37428ee756a0d9c9 37428ee756a0d9c9
*79068daf40000000 7b44154e52000000 2d7d597d01bc3826 2d7d597d01bc3827
7b461df792000000 7b8b00171b000000 3db38192838c492b 3db38192838c492b
798ac26ec0000000 7838db0300000000 4a014e4b23e62260 4a014e4b23e62260
7b90ee867b000000 7b8ce09467000000 401d788e2855f928 401d788e2855f928
7b46f8ba60000000 7b67fb0488000000 3edc9614c9cae892 3edc9614c9cae892
*7b97e0ab82000000 7b58510838000000 419deaeb574a3ccd 419deaeb574a3cce
7b6ade7094000000 7ba8cf31fd000000 3d90b7da96e9cdc4 3d90b7da96e9cdc4
*7b72123106000000 7b31d72af4000000 4171d669ce0be7eb 4171d669ce0be7ec
7b9cccb441000000 7a074e2028000000 4ca2abf003b7f0cf 4ca2abf003b7f0cf
7b0a28332e000000 7a11df6458000000 4793ad00c93864a4 4793ad00c93864a4
7ae6e3d808000000 7af874c868000000 3f6f342c16cc6afe 3f6f342c16cc6afe
7b564f040c000000 7b2d0b5486000000 40f42f41c31c9006 40f42f41c31c9006
7b1e0dec40000000 7bb03b9b9d000000 3b2cbf936c7d72e4 3b2cbf936c7d72e4
7b51832d9e000000 798bfec220000000 4dfc7c9c1487df13 4dfc7c9c1487df13
7b5cb19b6e000000 7b27d231aa000000 41429d8749058351 41429d8749058351
7b3e8b2ccc000000 7af57f0f5c000000 423591b68fd2a37e 423591b68fd2a37e
7b8424b472000000 7b100e5a6a000000 4356a41ad37e511f 4356a41ad37e511f
7b0c3f5ff4000000 7b64fb0452000000 3ce65f7c7230da56 3ce65f7c7230da56
7b9bf0460a000000 7ba5654660000000 3f8ae61d0a2352da 3f8ae61d0a2352da
*7bafdd4601000000 7ba014fa7c000000 4064f4a6b1dae726 4064f4a6b1dae727
7b5ad8e72a000000 7b6bf9ebf6000000 3f6b57209344c5d8 3f6b57209344c5d8
7a1493e528000000 7b895cb99f000000 34539bd5fb6ea77d 34539bd5fb6ea77d
7b9b623c5b000000 7b04df854c000000 44ad7af01fea9112 44ad7af01fea9112
7ba971b41b000000 7b88ceaff0000000 40f4491a4745ede0 40f4491a4745ede0
7ae1b2e284000000 7af010c300000000 3f857002a5e1b91b 3f857002a5e1b91b
7b922b698f000000 7b279de9f8000000 42f9f32c94fda99a 42f9f32c94fda99a
7b7ce7e6ce000000 7bbb9d1daa000000 3d645dad9c6dc76a 3d645dad9c6dc76a
7b393b49d8000000 7adb82b020000000 42c02ed7148517df 42c02ed7148517df
7b6f429cd4000000 7b5d921cf6000000 4051c0ae23f838f3 4051c0ae23f838f3
7b8aafa105000000 7b6c2a83a2000000 40b2aaa2740884cc 40b2aaa2740884cc
7aa998b094000000 7b4e9a8be2000000 3a912885c257d8ea 3a912885c257d8ea
7bacf5edda000000 7ba2077a90000000 404515c7e0dc332e 404515c7e0dc332e
7b2c2ca8da000000 7bb7a58edf000000 3b801028549e193a 3b801028549e193a
*7a40e5e308000000 76f2024800000000 563289cb28f2e9ae 563289cb28f2e9af
7a7796a680000000 7b0a255476000000 3b2b3d31766e473d 3b2b3d31766e473d
7a8a0fec88000000 7b6a12527a000000 38b7f845991000be 38b7f845991000be
7b79707234000000 7bbaa0b784000000 3d58a32ba5ad940f 3d58a32ba5ad940f
*7b6b0b53a0000000 7b9bab0deb000000 3e0a24abadab217d 3e0a24abadab217c
7b7f66c37e000000 7a404d2790000000 4950018f18f516a6 4950018f18f516a6
7a05a1b940000000 7b78d735b4000000 344bcfb5835ae607 344bcfb5835ae607
7b2554b8ec000000 79473c1f00000000 4ea37da0f9a4a8c0 4ea37da0f9a4a8c0
7b9816a8c5000000 7b24bb7c6c000000 4362ced78d89469b 4362ced78d89469b
*7b9e4385eb000000 7aa32b7fb4000000 47c26bd488cd601d 47c26bd488cd601e
7b1d92b220000000 7b61dbc4c2000000 3d94d02e5b3ad349 3d94d02e5b3ad349
*7baf04c0e6000000 7b4dc003aa000000 42ce1aaa26f8987c 42ce1aaa26f8987b
7b0697412e000000 7b1a908da2000000 3ef75830860026e7 3ef75830860026e7
79faae1c20000000 7a10a7cd38000000 3eee89609f9b7a02 3eee89609f9b7a02
7af8d8a4c8000000 7afabe8aa0000000 3ff07f572d6828af 3ff07f572d6828af
*7b71e9f6f8000000 7b8181c9ca000000 3f78ca9ed574c8e2 3f78ca9ed574c8e1
79bf7e9790000000 7b889fdd3f000000 319b402f05fc08e5 319b402f05fc08e5
7a1cb61b88000000 7b145c2558000000 3839a47ea9538a51 3839a47ea9538a51
7b0e9effce000000 7b8c8bbeee000000 3c0f1ea5a3389807 3c0f1ea5a3389807
*7b175fb8ec000000 7b268ed2c2000000 3f454c1cfe67f94f 3f454c1cfe67f950
7b952b9c2d000000 7b3e8d3fce000000 42433e5c41a85b74 42433e5c41a85b74
7b9d757c0d000000 7b38f63828000000 42cf79102be8d66f 42cf79102be8d66f
7b57a4bdaa000000 7b524ab106000000 401a0f5a5623b1fd 401a0f5a5623b1fd
7abf0a15d4000000 77fbf60400000000 560477a83dd58fd0 560477a83dd58fd0
7b10d7f0d4000000 7b1a700304000000 3f80c5fba585e2ff 3f80c5fba585e2ff
7b3af22e2e000000 7bb43e5740000000 3c26140860346724 3c26140860346724
7ad9756814000000 79d3b9c8c0000000 481bba1388c1571a 481bba1388c1571a
*7ad4f14908000000 7b7d92a4e0000000 3ab7d8a4f92e2e38 3ab7d8a4f92e2e39
7b34e73c1e000000 7a15ab4ac8000000 48d5b2506d95e522 48d5b2506d95e522
7bb307a9b0000000 7a0687c0a0000000 4d52b773daf85108 4d52b773daf85108
7b9ff105e6000000 7b5087f7e4000000 4222cc2ce55607d8 4222cc2ce55607d8
79f9a4a840000000 7b74c947ea000000 34145157e386f40a 34145157e386f40a
7b75f2ca98000000 7b0543e868000000 4361d939742ae36e 4361d939742ae36e
79b359c090000000 7b9aea6b32000000 30a1840bddc85b2c 30a1840bddc85b2c
7baae5f027000000 7b9acfe68e000000 406a66a2348d0b3f 406a66a2348d0b3f
7b954f0f27000000 7ba5df5573000000 3f337e01be148a6a 3f337e01be148a6a
7b3ae3b584000000 7a8212acc0000000 45bf49dca0490f2b 45bf49dca0490f2b
7b0193814a000000 79857cae90000000 4bc3ffa1808715e0 4bc3ffa1808715e0
7aed5246f8000000 7b2c319f98000000 3d834cb6218a49c3 3d834cb6218a49c3
7ab8dc8170000000 7b98c66c81000000 38d71039519a2784 38d71039519a2784
7b6d3af5f8000000 7b5e01c202000000 404637bb49357324 404637bb49357324
7a8478dda8000000 7bb1f20cbb000000 35f4a3f7f1dd3d55 35f4a3f7f1dd3d55
7b0a33619a000000 7b1eaaaf8e000000 3ef7d560f1e26bc6 3ef7d560f1e26bc6
7b1570f278000000 7bbbb72bca000000 3a5e6c22ff0fb269 3a5e6c22ff0fb269
7af958e320000000 7b57ad614c000000 3c9fdbfeacbf0ce5 3c9fdbfeacbf0ce5
7b5b52710a000000 7b836fe996000000 3eacb16fa5ed60ff 3eacb16fa5ed60ff
7aecb021b4000000 7bb861b1c1000000 39227e751d79c46f 39227e751d79c46f
7bbf271560000000 7b7304826c000000 424aea2f08272ec8 424aea2f08272ec8
7a90e1899c000000 7bacd04de5000000 36b4f8e290a04fbe 36b4f8e290a04fbe
7b79e45598000000 7b3ec8d5aa000000 413d3f7b120083e6 413d3f7b120083e6
7b4a63ff4c000000 7bbc19402c000000 3c4dce0ab949465f 3c4dce0ab949465f
7b31cd5816000000 7996a620d0000000 4cb89138c7cbb2de 4cb89138c7cbb2de
*7b51d31c22000000 7b2bb1adae000000 40e36a1faa6549cc 40e36a1faa6549cd
7b519d99c4000000 7b1c371b6e000000 415e0ade5cffd4cf 415e0ade5cffd4cf
7b91f21703000000 7b036af1da000000 4471336094ff47e3 4471336094ff47e3
*7b2f0bdf88000000 7b75b74a28000000 3db2fb2f16be0cc2 3db2fb2f16be0cc3
7a3c727e20000000 7b00a9794e000000 39dbd228285caf01 39dbd228285caf01
7b11ee6596000000 7aa6019b1c000000 4308562d8beca597 4308562d8beca597
78828d6500000000 7a81f48a3c000000 3004b47034f39abc 3004b47034f39abc
7a91702f6c000000 7b99988559000000 37933ac2b2818f4e 37933ac2b2818f4e
7b41a3be6c000000 7b5aa67d4c000000 3f15bc58b16709ab 3f15bc58b16709ab
7b0631d840000000 7b45b82996000000 3d6e010d9259b0eb 3d6e010d9259b0eb
7b8dd06136000000 7b4ee9eff8000000 417ba6f74376116d 417ba6f74376116d
7b78e93448000000 7b5d4480d8000000 407fee209009e40f 407fee209009e40f
7b29906d44000000 7afe361910000000 41560edaf6e96dd2 41560edaf6e96dd2
7b22fcaa6e000000 7b45312fb0000000 3e9cc0716acf2d2c 3e9cc0716acf2d2c
7a9c09f900000000 7b1be5deb6000000 3c00ed230dc753f2 3c00ed230dc753f2
7b2275b08a000000 7b779569c6000000 3d3fdbe94408f40c 3d3fdbe94408f40c
7b8d8075a0000000 7a8ae4b5f0000000 48133b04acabbe49 48133b04acabbe49
7b3cc69976000000 7ad20bcf80000000 43309be4121835ff 43309be4121835ff
7b615839b0000000 7abe789400000000 44bb7c5655c1df0d 44bb7c5655c1df0d
7ac136a30c000000 7b7c5924f0000000 3a20135cd74b1e0a 3a20135cd74b1e0a
7b24aea4fa000000 7b8eb0f57f000000 3c9dd0806dbdab06 3c9dd0806dbdab06
*7acabe1960000000 7b0606deaa000000 3e0d019554fef6bd 3e0d019554fef6bc
7af93c69fc000000 7b45fa5e38000000 3d091f0d34e59f1f 3d091f0d34e59f1f
*7b0260039a000000 7a053367e0000000 47d48da5f64d6872 47d48da5f64d6873
7b635c4936000000 7b67bf104a000000 3fd93dbc27db0cf4 3fd93dbc27db0cf4
7b2753b8a2000000 7abff4fc68000000 42f938c4c0205b48 42f938c4c0205b48
7b2db96e82000000 7a26cef0f0000000 482a74a741272f2b 482a74a741272f2b
7b0147582e000000 7b1115b7b8000000 3f20e1e27a609782 3f20e1e27a609782
7b88b96644000000 7a226c4340000000 4abbf6b924ddb9b8 4abbf6b924ddb9b8
7b711035ee000000 7b3f2c3b0a000000 410b3bfa22821d5f 410b3bfa22821d5f
*7ba9276687000000 7bb92bc70e000000 3f4ed9a33e64a172 3f4ed9a33e64a171
7ba820f962000000 7bb1e0cccb000000 3f8fc04e75517980 3f8fc04e75517980
*7b1af29eec000000 7ba0a91459000000 3bb72d7bc4e4100d 3bb72d7bc4e4100c
7a0bb75280000000 7b6d416bfa000000 34b6090ad25032f2 34b6090ad25032f2
7b33a9b6ce000000 7ae65f8ec8000000 423d30723f5caddd 423d30723f5caddd
7aa2060b20000000 7a9d38ab74000000 401f470bbcd36c1d 401f470bbcd36c1d
7b3481f016000000 7bb9f86d19000000 3bc3d724271725ea 3bc3d724271725ea
7b9deee0db000000 7ad0574dc8000000 46107d05bbc5e4aa 46107d05bbc5e4aa
7b6720a196000000 7b0ce0c746000000 428ffef0891c0cfd 428ffef0891c0cfd
7b36c7fca0000000 7b1ba291ae000000 40b29ba984656912 40b29ba984656912
76a342f000000000 7b72a5be56000000 1f74aa6e71545840 1f74aa6e71545840
*78738e2480000000 7b67f24310000000 2833404d10c0cd9e 2833404d10c0cd9f
7aff0d0b38000000 7b3a963532000000 3d77bc65570732e3 3d77bc65570732e3
7b0394d4be000000 7b00582716000000 4019d3a3dc6c2851 4019d3a3dc6c2851
7b2d3bf388000000 7b07630d50000000 411e421001e51757 411e421001e51757
7bb4253513000000 7b96613c93000000 40caaf9c29721a40 40caaf9c29721a40
7ba0fca142000000 7b6bdf3ee4000000 4175cbce0a136692 4175cbce0a136692
7b2d1aa03c000000 7b6f35360c000000 3dca0bbc0ad7e016 3dca0bbc0ad7e016
7ae6849868000000 7b8ab28531000000 3aa5e8edd162d372 3aa5e8edd162d372
79dfbd7990000000 7b353b8eb8000000 34f02cf7ad0be6e6 34f02cf7ad0be6e6
7b80a224a3000000 7b49124f6e000000 411e2fad80c9208f 411e2fad80c9208f
7b2470c4c6000000 7ae90d2af4000000 41a5104867a2fb49 41a5104867a2fb49
7b5e7759d0000000 7b406873f8000000 409ff86d5007a012 409ff86d5007a012
7b94e1121a000000 7b5fbba316000000 4152ce471e871b46 4152ce471e871b46
7b097ac368000000 7b4e32e8fa000000 3d5577fef114bd85 3d5577fef114bd85
7baa211c49000000 7acfe43a70000000 468bfe66ccbfc1fb 468bfe66ccbfc1fb
7b0e9b5cf2000000 7bbf022e63000000 39f90937882e190f 39f90937882e190f
7ba3d6e028000000 79c0b102d0000000 4ecd594488191d30 4ecd594488191d30
7ba61ba2e0000000 7b1beff8e2000000 4442c9946377a024 4442c9946377a024
7b00083d94000000 7b5ad2a2b2000000 3cae4672425e4335 3cae4672425e4335
7b8cfa2ad4000000 7b47b5fde4000000 41a5b247c574a7d3 41a5b247c574a7d3
7b72e8c30e000000 7bb315cdb4000000 3d6cf157c3d7e5e7 3d6cf157c3d7e5e7
7bb1d2fb63000000 7bb9788051000000 3fab90bade738b0d 3fab90bade738b0d
7a81fc7c34000000 7bbecd2637000000 35733b017b037f7d 35733b017b037f7d
7b9d537f43000000 7a4f9c04a0000000 4a0ff8bf0ac71666 4a0ff8bf0ac71666
7b63c5e7d6000000 7b1e4cf54c000000 41c16594141bcd07 41c16594141bcd07
7b26d801ca000000 7a131097c0000000 4889b8211bec3264 4889b8211bec3264
7b8df3a0dd000000 7b617f0050000000 41093baa5cd7609d 41093baa5cd7609d
7bac55938d000000 7b7fad2990000000 41646b4b13ac0062 41646b4b13ac0062
7aff97eb38000000 7b7f8328e4000000 3c005331e2117ecb 3c005331e2117ecb
7a11c53e00000000 7b1bb33756000000 377d64287bf4697d 377d64287bf4697d
7b61022936000000 7afa38ed34000000 4331a18d3ff52c82 4331a18d3ff52c82
7b1b6060e6000000 7ac19c3da4000000 426b907e88087930 426b907e88087930
7bbe4fcfc0000000 7b3fd1b068000000 43efe81744545969 43efe81744545969
*7b7c81562a000000 7baed0e45b000000 3dc7125012ee0ab1 3dc7125012ee0ab2
7a73b89c08000000 7b17e1b710000000 3a6b308d705f19d9 3a6b308d705f19d9
7b3e6fe788000000 7b398dc682000000 401af2faf94afdd6 401af2faf94afdd6
7aaf66cef0000000 7b3af13db2000000 3b81917444892368 3b81917444892368
79b97c79c0000000 7b14a18e7c000000 34fdea4429daa155 34fdea4429daa155
7ba9697a62000000 7b559f76c2000000 4258269e363ea3b1 4258269e363ea3b1
7a9c5ea9fc000000 7b2a865c3c000000 3b55ff7ac36bfdb2 3b55ff7ac36bfdb2
*7b1090b474000000 7bb2af721c000000 3a78eedd2a05444f 3a78eedd2a054450
7a7c9faae0000000 7b6363a938000000 3871a348964e1517 3871a348964e1517
7b9d7f2d7d000000 7b0d573fb8000000 44750c6360518877 44750c6360518877
*7b0dea0576000000 7b4b8f0f6e000000 3d93cb6264671acb 3d93cb6264671aca
7b72b623f0000000 7b4d11f02e000000 40bbf58ed634208a 40bbf58ed634208a
7b2ef2b8a8000000 7b96da3f75000000 3ca390214f35b93b 3ca390214f35b93b
7ab4d25fcc000000 7b9e6e5f0f000000 3890b816de96d16d 3890b816de96d16d
7b79438e58000000 7a9a3ea7ac000000 4676d0266c30034b 4676d0266c30034b
791eeae4c0000000 7b28364700000000 2f8ed6bd162f0d98 2f8ed6bd162f0d98
7af5a7a580000000 7bb22bef1a000000 3983d825753fd4df 3983d825753fd4df
7b6513051e000000 7bba0bb08d000000 3cecd4a7a29f27f4 3cecd4a7a29f27f4
7b3177320a000000 7b6f01b36c000000 3df0aacd81dc6004 3df0aacd81dc6004
79e26d40d0000000 7b96258266000000 32083b4eab108c9f 32083b4eab108c9f
7ba9acc8d0000000 7b80b0569d000000 41462245c8feb523 41462245c8feb523
7b206265e6000000 789a187540000000 5429ca6b2e8863fe 5429ca6b2e8863fe
7b706260a6000000 7b3cb00e00000000 41188e17e9ecc1f5 41188e17e9ecc1f5
7a446f21d8000000 7ba1ddf923000000 34daad5b34141587 34daad5b34141587
7b3e10bb3a000000 7b517e2e5c000000 3f42139d9cf91a64 3f42139d9cf91a64
7a91196be0000000 7b2e731be0000000 3aa76efb178896bd 3aa76efb178896bd
7b0e2e3c5e000000 7bbcd43f34000000 3a060f7f2b16f9ec 3a060f7f2b16f9ec
7b722f0e26000000 7a987def30000000 465a4a70704a82dd 465a4a70704a82dd
7a964d5988000000 7b9d5de20c000000 37a40f691af0d6e7 37a40f691af0d6e7
7b7ab2137a000000 7b5954e922000000 409d3357bc49c974 409d3357bc49c974
7b34644280000000 7b6ce121a0000000 3e179eef24690b69 3e179eef24690b69
7b92c9f05e000000 7b7f8aef44000000 409869780a61b375 409869780a61b375
7a1e7396e0000000 7b9022fa1b000000 3465b2c384dbab7c 3465b2c384dbab7c
7b58dfd868000000 7b5c012838000000 3fe2dcab4e39c83c 3fe2dcab4e39c83c
*7952715d60000000 7b7e73b924000000 2e9dc8d27a16fdd4 2e9dc8d27a16fdd3
7b5b8c177e000000 7b9a61fdc8000000 3db03a1b2c3882c1 3db03a1b2c3882c1
7b8f5cd6ad000000 7b346befe6000000 425b5626fd74fdca 425b5626fd74fdca
7986291e40000000 7a2f830cc0000000 3a1d7bb09f06b1f6 3a1d7bb09f06b1f6
7b32dfa90a000000 7b6c513b46000000 3e0e2ce73f92c73d 3e0e2ce73f92c73d
*7ac1497d80000000 7b51995664000000 3b609da103c4159e 3b609da103c4159d
7b20bd2b2c000000 7bb8b4f145000000 3af63f816db0930f 3af63f816db0930f
7b7d7a1994000000 7aa739a86c000000 4610291a0e6bd76d 4610291a0e6bd76d
7abb763ba0000000 7baf0f6c2b000000 38488ac87a2d47df 38488ac87a2d47df
7a14d8aa68000000 7b7e7848fe000000 34adee529ee9a316 34adee529ee9a316
7b4f88bae0000000 7b91582218000000 3db6260a0edae8c1 3db6260a0edae8c1
7aa42a3a68000000 7a350f62c0000000 4340e571e3756112 4340e571e3756112
7b00cf1c86000000 7bbba5a3e8000000 397dd5ee7b42da16 397dd5ee7b42da16
7a2ef086b8000000 7ba82bebb3000000 342935de9bf37d65 342935de9bf37d65
7b19fb8c00000000 7bbee89f72000000 3a73dec91bfdffd3 3a73dec91bfdffd3
7afb37602c000000 7b8d655443000000 3b1b5435cef47aa7 3b1b5435cef47aa7
7b751c86b4000000 7b2957d1c6000000 41ca29e4cf09bfe9 41ca29e4cf09bfe9
7bb5913ff6000000 7b0f1812b4000000 45135205c6e76da9 45135205c6e76da9
*7b93948855000000 7b68be3002000000 41129e55a3fa7c55 41129e55a3fa7c56
7b29e2bb3a000000 7b8e22cbaf000000 3cc7eb64ad9efee4 3cc7eb64ad9efee4
7b121601c8000000 7b8a829d93000000 3c3801d61da62b91 3c3801d61da62b91
7b2b5daa12000000 7b393f1272000000 3f668b225751d5b2 3f668b225751d5b2
7b7dc36b28000000 7baaa032a6000000 3df2f1ee18b37965 3df2f1ee18b37965
7b5584a9d0000000 7b0fd96cf2000000 41eff1359d7d136f 41eff1359d7d136f
7bb522d039000000 7b00e253e2000000 459f25d3de652919 459f25d3de652919
7a9230fec8000000 7ad0121734000000 3d9eef1c53fd838a 3d9eef1c53fd838a
7b5622b92e000000 7b8f4e949b000000 3dfa1b3f06781d8b 3dfa1b3f06781d8b
7b77e2788c000000 7a80d0b340000000 47b286e1af5ff7f5 47b286e1af5ff7f5
*7b1f7f7d18000000 7ba07d7bf9000000 3bf356c928a1c156 3bf356c928a1c157
*7b2871653c000000 7bbad11b24000000 3b36930dd4adbc43 3b36930dd4adbc44
7bafcc1094000000 7b2053ddc8000000 4462cd7b2730b6a8 4462cd7b2730b6a8
7b360a8fe8000000 7b7f179e40000000 3db5832c2ee09a72 3db5832c2ee09a72
*7b614ed5ba000000 7abcf7ea48000000 44c4eb8b4ed8f9bc 44c4eb8b4ed8f9bd
7ae973a910000000 7a81cdedc4000000 4331a717c108ee0d 4331a717c108ee0d
7b7ecfd2ee000000 7b95623239000000 3ed2b17be2c9d316 3ed2b17be2c9d316
7b9fff4a92000000 7b601ea8a8000000 41b60cd6ac82d53b 41b60cd6ac82d53b
7b09405996000000 7b34b869ac000000 3e13640f44355048 3e13640f44355048
7b9082cfc6000000 7b08102c84000000 443f93d435bc211a 443f93d435bc211a
7b5f7cce1e000000 7bb0821a58000000 3d108c11563a9f4b 3d108c11563a9f4b
*7bb4176a97000000 7bb45e93db000000 3ffcd8008c0aa3db 3ffcd8008c0aa3da
79ade4f2e0000000 7b093474ba000000 3511d2e5a7df8054 3511d2e5a7df8054
7b70cd543a000000 7b75396c7c000000 3fdb1144b1f48a23 3fdb1144b1f48a23
7b6a38a96a000000 7ab1f852d0000000 4543a85a8fb91740 4543a85a8fb91740
7baefb4a19000000 7b7ff547c6000000 417814f125ad8a7e 417814f125ad8a7e
7b62309e22000000 7a9d87d0d8000000 45be4ef6e605a7a1 45be4ef6e605a7a1
7b752eb442000000 7b4c69478c000000 40cc3e5f98d77367 40cc3e5f98d77367
7b27c011d6000000 7aa64a90e8000000 4408fbfc98d3dacd 4408fbfc98d3dacd
*7b4c5e8f54000000 7b09f0aff8000000 41ed2338e3b63515 41ed2338e3b63514
7b21e930e2000000 7b418d4396000000 3eb133f19d2e1a65 3eb133f19d2e1a65
7bab2cfbc3000000 7ba4d4a15c000000 40276b31f07cbf39 40276b31f07cbf39
7b8a594606000000 7b915c436d000000 3f9d362cb90be0ae 3f9d362cb90be0ae
7b5399f2b0000000 7b369bbcee000000 40a2950129cdcb6b 40a2950129cdcb6b
7b6445ca70000000 7a27cfa8d8000000 4970f10dfd3e53b6 4970f10dfd3e53b6
7b80227fd3000000 7b78f8567c000000 401e05b29325e272 401e05b29325e272
7a9958e220000000 7b53def256000000 39ca4b6a11eb3385 39ca4b6a11eb3385
7b2f94136a000000 7b98791dc1000000 3c9b2c80f5eccd75 3c9b2c80f5eccd75
7b7dd2dc8e000000 7b2fd91310000000 41c611bb6e56f7c6 41c611bb6e56f7c6
7a27aa47f8000000 7a94fe9b3c000000 3c80516f45907826 3c80516f45907826
7b03b80568000000 7b597ea56a000000 3cd84e8a3ab9c91c 3cd84e8a3ab9c91c
7af6e31240000000 7b018ae1f6000000 3f9f93e98c6af90c 3f9f93e98c6af90c
7b0957b87a000000 7b255c1b20000000 3ea5012ec8d29d24 3ea5012ec8d29d24
*7b4c0a2f94000000 7950fbde20000000 4fcf8c924361e064 4fcf8c924361e065
7bbf6d6045000000 7ba3bddc5b000000 40ad2394316d2acc 40ad2394316d2acc
7b0e9a9fd8000000 7903279040000000 5059648060dab017 5059648060dab017
7b6cd7d3d6000000 7bad5267b7000000 3d7749d1d355ff29 3d7749d1d355ff29
7b8aa11b74000000 7bb5d94a30000000 3e194205f646ea31 3e194205f646ea31
7b22208824000000 7b23dcd6c0000000 3fea4eedecdf9125 3fea4eedecdf9125
7ae7ca1ac8000000 7b0ef85bfa000000 3e7c2753f09a7329 3e7c2753f09a7329
7b7e81a62e000000 7b0927444c000000 436c2b3c298dbdae 436c2b3c298dbdae
7b7aaaf05a000000 7b20a22e52000000 423df247ee90cd89 423df247ee90cd89
7b96820d87000000 7add1ffb7c000000 4571f70ca802fd6d 4571f70ca802fd6d
*7a3e6a2930000000 7a2e1704f0000000 406005c90429493a 406005c90429493b
*7b77b7420c000000 7a2915ea98000000 49dc316188844965 49dc316188844966
7b4c27ef90000000 7b925dae8d000000 3d944f02edac191e 3d944f02edac191e
7b18d57866000000 7b7bc279dc000000 3cdb439c3135d4e0 3cdb439c3135d4e0
7aa0823cac000000 7b108cba72000000 3c710e05284389ce 3c710e05284389ce
7b9303fa42000000 79e3486f30000000 4d2cba1274ff1a02 4d2cba1274ff1a02
7b3548178c000000 7b3edd6cea000000 3f992b7c529fa147 3f992b7c529fa147
7b8c15c3e2000000 78b126bc40000000 56537c7ed46e36db 56537c7ed46e36db
*7ba7b513ae000000 7b9f19be24000000 4037650eb8c8f698 4037650eb8c8f699
7b90f921e5000000 7b82591f74000000 4072e4826060cff1 4072e4826060cff1
*7b7d10e932000000 7b9d0ee5c7000000 3e71f59b8e312ab7 3e71f59b8e312ab6
7923b74ca0000000 7ba63d8847000000 2be0e6b99d07b038 2be0e6b99d07b038
7bbc28a3eb000000 7a30b6e250000000 4c4250f1d14f27d2 4c4250f1d14f27d2
7ba896a7bb000000 7bbab11884000000 3f396867fb7b3d62 3f396867fb7b3d62
7acc970844000000 7ab6d18880000000 4079f231ab56b1be 4079f231ab56b1be
7b41dd4196000000 7b5e9ccbf8000000 3ef785614e96c25c 3ef785614e96c25c
7b07967cd6000000 7b89854886000000 3be3375980eef096 3be3375980eef096
7aa7fdfa00000000 7b6de200f8000000 39a64a8494e9b16c 39a64a8494e9b16c
7adce6aa98000000 79aee1f4b0000000 490d743f210e8082 490d743f210e8082
7b4c7eccf2000000 7b7609d222000000 3ea633198b8ff9d1 3ea633198b8ff9d1
7a239b3e88000000 7a01f727c8000000 41090ecb7bb6ba4d 41090ecb7bb6ba4d
7b63ebd31c000000 7b175a24f0000000 42060ab0a09d1dd2 42060ab0a09d1dd2
*7b9b2d0445000000 7b306aa00e000000 43096b64f814ccd2 43096b64f814ccd3
7b0d63f712000000 7abe81b058000000 41effce68606cbb5 41effce68606cbb5
7b50e86a00000000 7ae29f945c000000 435fe79d820b40d2 435fe79d820b40d2
7b769afd1c000000 78e84e5140000000 543f082af8e618b6 543f082af8e618b6
7a06e9a8f0000000 7b03fef430000000 3816a0cee12b7def 3816a0cee12b7def
7bb341a55c000000 7b72a2d43e000000 41e9094ee24f8ef4 41e9094ee24f8ef4
7b754ebe5e000000 7aba3c8fa8000000 4544cb8d975942ea 4544cb8d975942ea
7b79e546c8000000 7b8b84944e000000 3f2a1fbe1b3d78e1 3f2a1fbe1b3d78e1
7b611d3c04000000 7ac0d12300000000 44ab85b09f5e52f0 44ab85b09f5e52f0
7b84d5fe6d000000 7b566bfa64000000 40f4c05d5f1dcf2b 40f4c05d5f1dcf2b
7b3d86d956000000 786450e880000000 56a40f261fce8642 56a40f261fce8642
7b6d752300000000 7b1ea4155a000000 41fcbf66f5717640 41fcbf66f5717640
*7bb1fcea92000000 7b77211fda000000 41c30399fd8fa67f 41c30399fd8fa680
*7aea201f7c000000 7b90c0573d000000 3a78402d2687f057 3a78402d2687f058
7b7ab2637c000000 7ac50a657c000000 4516d88da4fd1d1f 4516d88da4fd1d1f
7b4024c3d4000000 7abd587140000000 440f21f262c2787f 440f21f262c2787f
7ab34ca530000000 7b9a9a69ca000000 38a392b9bd885ae3 38a392b9bd885ae3
7b002ce71a000000 7baa2c5b0b000000 3a06907d91bae469 3a06907d91bae469
7b17ba0652000000 7ba028d578000000 3b942ac34720951b 3b942ac34720951b
7b3304eeb6000000 7b716058ec000000 3deeeb9bde54d048 3deeeb9bde54d048
7bbac33f42000000 7b9998eae9000000 40dd1b2dc8561c95 40dd1b2dc8561c95
7ba2dc8781000000 7954084d60000000 52250ff66fd1624e 52250ff66fd1624e
7bb9c1c061000000 7b78bdfdb8000000 41f96a45f8d25635 41f96a45f8d25635
7b7ea0ddc2000000 7b6909ff46000000 405eddb0651ee595 405eddb0651ee595
7a2fbf4e28000000 7a88b3d988000000 3d24792087e97e95 3d24792087e97e95
7b764a841c000000 7b1f73544c000000 422db1ea9065fea8 422db1ea9065fea8
7b9e8bf53f000000 7b74eb61e0000000 412dc1c363dd1d9b 412dc1c363dd1d9b
7b087d5392000000 7ad20f7c10000000 4132b701285fdc59 4132b701285fdc59
7a65153a90000000 7bbf63ebd8000000 34c9aae933f61df7 34c9aae933f61df7
7b843d892b000000 7aecba7244000000 44780c0224062a35 44780c0224062a35
7bb9d99cc8000000 7b10f865e8000000 4520c1343f12205f 4520c1343f12205f
7b5f64f72c000000 7b2cf88834000000 412a82dfa87041fd 412a82dfa87041fd
797c03d980000000 7b67e00982000000 3058f0f16a872506 3058f0f16a872506
7b91aae0ac000000 7b03737728000000 446ebeebf8139e4a 446ebeebf8139e4a
7af1b0ded4000000 7b815d5c42000000 3b79214ee47f1c83 3b79214ee47f1c83
7b9835ffaf000000 7b0898ad04000000 44750e1514f6bffe 44750e1514f6bffe
7b6a9ac206000000 7ba9e0e05b000000 3d86289952c06217 3d86289952c06217
7940c242c0000000 7ac6e662e0000000 33c0c4661a64037e 33c0c4661a64037e
7bab3e3c9d000000 7a71e08e28000000 49a9ef108c996ea8 49a9ef108c996ea8
7b6c0bde74000000 7ba08b9da0000000 3de1909ba7b68dfb 3de1909ba7b68dfb
7b1039e440000000 7b781802a2000000 3ca69418a440b6c4 3ca69418a440b6c4
7b248a6cb0000000 7b66b65d7a000000 3db49a9e53b38622 3db49a9e53b38622
7a524098b0000000 7b10964b26000000 39d10e8ca5db78c6 39d10e8ca5db78c6
7a1f3662e8000000 7b44ca0a6e000000 3678ef8b66c49df7 3678ef8b66c49df7
7b08ae4dc8000000 7b4c58056c000000 3d59dc25192557df 3d59dc25192557df
*7b2b8067e8000000 7b3d3e73f4000000 3f3ffdebd05ce674 3f3ffdebd05ce675
7bae772849000000 7b534e00a4000000 429af2b1439c6bb7 429af2b1439c6bb7
7b02087e62000000 7b659c9e5a000000 3c87d179d88e2feb 3c87d179d88e2feb
7b1fa60610000000 7b96c47326000000 3c3c51ee95762739 3c3c51ee95762739
*7b22db124e000000 7b7c9456a2000000 3d287d6098cd1348 3d287d6098cd1349
*7b806b7378000000 7b9271c859000000 3f03ed62ff15fa09 3f03ed62ff15fa08
7b6230f4fc000000 7b207ced00000000 41a338e0729ab7ef 41a338e0729ab7ef
7ba9363b7f000000 7b050c074a000000 4516580931793a56 4516580931793a56
7b1d1143a2000000 7ba9a1aef7000000 3b684f73335c0324 3b684f73335c0324
7b29ef97fc000000 7bbfa11c4f000000 3b1827e7cd9a2c41 3b1827e7cd9a2c41
7b73c04aee000000 7b7c5c0efa000000 3fba238d241f114c 3fba238d241f114c
7889c7fd00000000 7b10d18e90000000 2b9c7a8525e5d7e3 2b9c7a8525e5d7e3
7a9f3ed9d0000000 7b2e3dd7e6000000 3b4fbd437a991eca 3b4fbd437a991eca
7b8809e397000000 7a86bf6fac000000 4809cf3cb4152c94 4809cf3cb4152c94
7b2a99e6e0000000 79a31038b0000000 4c2f55f1b3e81f5c 4c2f55f1b3e81f5c
7b54314666000000 7b7a3953ca000000 3ec8b9a33f91db3d 3ec8b9a33f91db3d
*7b429fdefc000000 7b64450d94000000 3ed223e4968b4d16 3ed223e4968b4d17
7a76642e80000000 7bb69ce2ef000000 3565a32cbacc702e 3565a32cbacc702e
7b78a714ac000000 7b88e52904000000 3f43f744b479c5d2 3f43f744b479c5d2
7bb3b98cd4000000 7b3b46f3a8000000 43ad68f82b663c38 43ad68f82b663c38
7b760f5f9c000000 7b250c2548000000 41f69fe82321ddf0 41f69fe82321ddf0
7a2202e618000000 7b6eb67448000000 356df3b0915855b5 356df3b0915855b5
7b36d67750000000 7b0ff3d32e000000 41149bf241e4b6b5 41149bf241e4b6b5
7b29fd67f2000000 7b2ce5d6ee000000 3fdd8e13477565b2 3fdd8e13477565b2
*7b9a7ffc3c000000 7b527e2178000000 41df3729b07147df 41df3729b07147e0
7b1b9c4b36000000 7b6bd66fc8000000 3d474ffea9a29e8f 3d474ffea9a29e8f
7bb138fa54000000 7a8b336650000000 4917b2eebf0e8ad0 4917b2eebf0e8ad0
7b18bc46b6000000 7b1771ed20000000 4008b9abbfed786b 4008b9abbfed786b
*7b8c0bea51000000 7b9a2c48f7000000 3f4458f10e4fac62 3f4458f10e4fac63
7b03485ce8000000 7bbd44e4a5000000 398c8db298bf0845 398c8db298bf0845
7af3e48a2c000000 7b8e0251d0000000 3adea9de55d10f71 3adea9de55d10f71
7b88fddb35000000 7b120a19b8000000 43811de68cbffe54 43811de68cbffe54
7ba82e9ac7000000 7b15441352000000 4481c4e933542979 4481c4e933542979
*79493e3020000000 7b4a4f7aa4000000 2ff531759828f53e 2ff531759828f53f
7b3148b6f2000000 79f47ccb60000000 49cd0e7653391d58 49cd0e7653391d58
7bae2cca2f000000 77a5ec8000000000 5e9ae01c8459d4d5 5e9ae01c8459d4d5
7b33d3acc0000000 7ad1daeec0000000 42daf334e97da338 42daf334e97da338
7b4bf56726000000 7aca38c764000000 4408cb76b70ba849 4408cb76b70ba849
7b077d10ce000000 7b94277dc2000000 3b50ea8ef6435508 3b50ea8ef6435508
*7bb361281a000000 7b9da85ec7000000 408d15c68f88eaf4 408d15c68f88eaf5
*7b113c72e4000000 7b995bdbad000000 3b9386f0a986f9e9 3b9386f0a986f9e8
7b206d2140000000 79c5cc1d90000000 4a7d0f1e10df0d8e 4a7d0f1e10df0d8e
7bad83596f000000 78e5ee2e80000000 56097d114baf8137 56097d114baf8137
7aa8148280000000 7b6c4325c2000000 39b0f967f4c0fe32 39b0f967f4c0fe32
7a679ca338000000 7b74776282000000 37944ffdd72705de 37944ffdd72705de
7b827e54bb000000 7b14eddbac000000 43027b63f0de755c 43027b63f0de755c
7b7ba6d3f6000000 7ab20dd56c000000 45a743bf10589ea0 45a743bf10589ea0
7b01310170000000 7b9ac6fe63000000 3aad7320e0db55af 3aad7320e0db55af
7a9afc9a70000000 7b062daae6000000 3c9ecd35a1ad1511 3c9ecd35a1ad1511
*7b4a7bd872000000 7ba4929098000000 3cebe40353f4dc55 3cebe40353f4dc56
7b5f34959c000000 7a9759b3c4000000 45e6274cda025801 45e6274cda025801
7bbf598efb000000 7b9659716b000000 41173ef88f7cb906 41173ef88f7cb906
7b51da84c8000000 7a925decd0000000 45bc290e2f8cc8c2 45bc290e2f8cc8c2
7bbaec0203000000 7b310f1a66000000 44390a7dc4775f5f 44390a7dc4775f5f
7b14dbd04c000000 7b748b21fc000000 3cdea8e1c3a312e3 3cdea8e1c3a312e3
*7b5dc1fd3c000000 7acd6caa28000000 44516b11841b5b28 44516b11841b5b27
7a76e86190000000 7ba9cd00a1000000 35d10038acf2ec0c 35d10038acf2ec0c
79be2b7bd0000000 7b5295e8b0000000 333973a4c406328d 333973a4c406328d
7ba412919f000000 7b75876cb6000000 41588eb662e761cb 41588eb662e761cb
7b9ca61ee3000000 7b05df3ba2000000 44ae39073a576f49 44ae39073a576f49
*7a9242dbf0000000 7aa2235a80000000 3f3774870df8a53d 3f3774870df8a53e
7bac3a922a000000 7b11469136000000 44bdfbd7b822269a 44bdfbd7b822269a
7ba34c8cfc000000 7b11c1621a000000 447b408e5ad4b14b 447b408e5ad4b14b
7b8b92e66d000000 7b0fba87f0000000 43c4cc3e8fd34cf1 43c4cc3e8fd34cf1
7b62d30f5a000000 7bb7cd7897000000 3cefaed63986d702 3cefaed63986d702
7b90808c94000000 7a25b0a548000000 4afa1bd50fe2683c 4afa1bd50fe2683c
7b80ae29a4000000 7b9c137301000000 3e9884f40c6a8c68 3e9884f40c6a8c68
7b3926b144000000 7b642f62a2000000 3e7dc34fbca434f9 3e7dc34fbca434f9
7b27c1d730000000 7b5a27ca6c000000 3e26df0c0a4c2249 3e26df0c0a4c2249
7b86cdc5fb000000 7b291e2a78000000 426075e38b063c4a 426075e38b063c4a
7b89275837000000 7b46c23d3a000000 418539817ad18366 418539817ad18366
7b0d4d8d1a000000 7b3a10879e000000 3e134fce4d373a7f 3e134fce4d373a7f
*7b20ea07a6000000 7b1ae91910000000 4027af87cf68b6c6 4027af87cf68b6c7
7bb197590b000000 7b3338b814000000 43ed5e9dea699649 43ed5e9dea699649
*7ac356ac94000000 7b707c3f30000000 3a7f866ba420dc46 3a7f866ba420dc47
7bb6a49fd9000000 7825577c00000000 5c6b26b2f2b85fd8 5c6b26b2f2b85fd8
7b0b655842000000 7b5077f1c8000000 3d596db48d7328ec 3d596db48d7328ec
7b35ce1604000000 7b6d10ae8c000000 3e229be9dbcc33cc 3e229be9dbcc33cc
7b40f430f8000000 7a0c5d56d8000000 497fa75c706f5761 497fa75c706f5761
7b6fa60c7e000000 7a98b31274000000 4647144853dcc6ab 4647144853dcc6ab
7b738f477e000000 7b25742282000000 41e366d662dd1a17 41e366d662dd1a17
7b9cb51be4000000 7b34837878000000 42f1e8faac430a96 42f1e8faac430a96
*7b488b783a000000 7b94882223000000 3d6695e5e5f01e37 3d6695e5e5f01e36
76ba036400000000 7b3c1abfb8000000 20b31cb11f237e71 20b31cb11f237e71
7b4e8466c8000000 7a691ce5e8000000 47165856fe0929a9 47165856fe0929a9
*7ae13c7060000000 7b170fdf02000000 3df6cd4eb9d730fb 3df6cd4eb9d730fc
7ac6aefb80000000 7bb8bd9cf2000000 384d48acc717b080 384d48acc717b080
*7ba9954f5e000000 7b98edf245000000 406f830b04d3dd2e 406f830b04d3dd2f
7b2bc2735e000000 7b43c8d6ec000000 3f04affc24356efe 3f04affc24356efe
7b48ebc38c000000 7b878cf890000000 3dedd4d4809f7126 3dedd4d4809f7126
7a55104340000000 79e0b31240000000 4395f1f62504ef53 4395f1f62504ef53
7ba07aead5000000 7b61068430000000 41b48f8af2341206 41b48f8af2341206
7b5fdf3936000000 791e199360000000 51aa000d1eafb793 51aa000d1eafb793
7b70207550000000 79a91a5030000000 4dae15c5ca2cccc7 4dae15c5ca2cccc7
7b92fbfdc0000000 7b988b257d000000 3fb55d2e32586704 3fb55d2e32586704
7b7629ce36000000 7b82eb9a5b000000 3f8560fbe08d88c7 3f8560fbe08d88c7
7a6bdf90c0000000 7b664a4386000000 3818d37bcca7c880 3818d37bcca7c880
79d7d3f5e0000000 7ac1dfbf60000000 3873f4bb33898019 3873f4bb33898019
79bb047400000000 7b8892267a000000 317a3ea0fd6ca8d4 317a3ea0fd6ca8d4
7acd8e28cc000000 7ba92c3959000000 38dc391abc076353 38dc391abc076353
7b776e907a000000 7b01c19324000000 43a0aa2a3f31f257 43a0aa2a3f31f257
7b33485262000000 7b87678f7e000000 3d4bd4062ef1678d 3d4bd4062ef1678d
*7b12e5e018000000 7b8d07b365000000 3c2a9b153e0b6017 3c2a9b153e0b6018
7bb093c8d7000000 7b0a547092000000 451b215fc59123d7 451b215fc59123d7
7b1bd0f9ee000000 79a37f2080000000 4b9fcb7ebdb5148c 4b9fcb7ebdb5148c
7b19238f8e000000 7b975b6d03000000 3c0c0df5f4f432e3 3c0c0df5f4f432e3
7a39fd2b68000000 7b7a4b213c000000 35f1d5be871d72ff 35f1d5be871d72ff
7b390b4a98000000 7b4a5044c8000000 3f512ee29eccbc91 3f512ee29eccbc91
7b875d82a7000000 7ba9176d14000000 3e6783de5d986722 3e6783de5d986722
7b79071ece000000 7a74e940a0000000 48113655f2d051cf 48113655f2d051cf
7a98f3f6c8000000 7b32126968000000 3adf1b9b5675ec11 3adf1b9b5675ec11
7b83c54a79000000 7ab66a0164000000 45c76a83b710fce7 45c76a83b710fce7
7b8220a1c8000000 7623678000000000 61928a63ac2a2247 61928a63ac2a2247
7b186f50dc000000 7aa1767de8000000 438d7cf89753a761 438d7cf89753a761
7b32a41d28000000 7b1ff9e5ce000000 40777923f3f80c2e 40777923f3f80c2e
7b2bf03fa8000000 7b36e560b8000000 3f854d3ac1a4e795 3f854d3ac1a4e795
7b208b998e000000 7ba22fc842000000 3beb462d5bf449ab 3beb462d5bf449ab
7b83d04fd7000000 7ba997db5c000000 3e37c6d7d4e53b3a 3e37c6d7d4e53b3a
7b64597652000000 7b3390df56000000 411631f3549b6899 411631f3549b6899
7921517700000000 7b04e50fe0000000 30db03309c7ec78a 30db03309c7ec78a
7b77f06fda000000 798dadb8f0000000 4f00030e1bb43dd6 4f00030e1bb43dd6
7b5814c698000000 7b5c49e62c000000 3fd8e219aed9d68b 3fd8e219aed9d68b
*7b45469674000000 7b6229de0a000000 3efa68d449fb6d11 3efa68d449fb6d10
7ac25dec18000000 7b3d37064e000000 3c1be1a7f1486d31 3c1be1a7f1486d31
*7b73df9528000000 7b9ca1de53000000 3e3a58fcc9bcd0fc 3e3a58fcc9bcd0fb
7b1980ec7a000000 7b39262b9e000000 3ea1f53ba8d059cd 3ea1f53ba8d059cd
7b8db6cd58000000 7b7aafe288000000 4085bd6e5f81ad78 4085bd6e5f81ad78
7aecba63d8000000 7974d2fd80000000 4bbc464fe169f4d9 4bbc464fe169f4d9
7b99f9cf97000000 7b87ef0f34000000 4087e9695d9401c6 4087e9695d9401c6
7b48735b76000000 7ba7b09cef000000 3cc80dbc9811f08f 3cc80dbc9811f08f
7b85470078000000 7b9f6846b2000000 3eb04af23acdb5d1 3eb04af23acdb5d1
7abd5cd36c000000 7b9f40d00f000000 38c19aa01e9471c5 38c19aa01e9471c5
7ba75755e6000000 7b9390e297000000 408939b5a8ccde34 408939b5a8ccde34
7b0de2d9fc000000 7bac9e565e000000 3a9361af1f3b9283 3a9361af1f3b9283
7bb2f92949000000 7b6c9143b2000000 420d661341272438 420d661341272438
7b17be4cda000000 7b34a0fe5e000000 3eb87dbf93906224 3eb87dbf93906224
799d984700000000 7b92d0936b000000 304b304cc6f05f56 304b304cc6f05f56
7b86eed58d000000 7af34ab6e4000000 446fd933c82a039e 446fd933c82a039e
7991935440000000 7b259bf7f4000000 330840e4244a8f59 330840e4244a8f59
*7a39196740000000 7b92f2b9b5000000 3509da8d878c62ab 3509da8d878c62aa
7b4b3d1eca000000 7a709013a8000000 46c23f1ff30f7275 46c23f1ff30f7275
*7b1f8acedc000000 7b5d6f8952000000 3dc3904e89efb5f7 3dc3904e89efb5f8
*7b61bffcde000000 7b4dd128ae000000 40632c117fe6e40d 40632c117fe6e40e
78553f2f00000000 7b2cfd1ba8000000 28ee4e6b5b26e476 28ee4e6b5b26e476
791f52d980000000 7b22dfcb9a000000 2fd35ace5ef1d079 2fd35ace5ef1d079
7b0a6ca4fc000000 7b6bb52a78000000 3cb2bb60cf032e33 3cb2bb60cf032e33
7bb8587a24000000 7b0dc1a1b8000000 4533a675bbc90fcb 4533a675bbc90fcb
7b18b24620000000 7af54c43c0000000 40faddeefdb79117 40faddeefdb79117
7a4285b548000000 7a0c7bac70000000 4189e61e259f127a 4189e61e259f127a
7accb698b0000000 7b2152619a000000 3d136e13339a33af 3d136e13339a33af
7b30e08cd6000000 7b7f0d927a000000 3d8c455fe59d3391 3d8c455fe59d3391
7b8dfc41be000000 7b6181fa28000000 4109790352ae49db 4109790352ae49db
7a08b1f658000000 7b0253cfd4000000 383208503ead1d07 383208503ead1d07
7b02d45bc4000000 7b530d0a6c000000 3cf58bc3c0ec1822 3cf58bc3c0ec1822
7b0161624e000000 7b1eccdf40000000 3e8494a37005314b 3e8494a37005314b
7b348f0496000000 7b238ddfe6000000 406a76b0516dd2b8 406a76b0516dd2b8
7a0482bc50000000 7b9bb1b02d000000 32cf0ba35d914148 32cf0ba35d914148
7aed35d4a4000000 7b22821164000000 3dd6b722cde47f86 3dd6b722cde47f86
7b56303f9a000000 7a2ca7bba0000000 48f65456d8fa7fb9 48f65456d8fa7fb9
7ba307f8a5000000 7b7750eeae000000 41460b5d2d4d354d 41460b5d2d4d354d
7ac51a9e84000000 7b3caadb9c000000 3c2dca3120020e0d 3c2dca3120020e0d
7b19d30012000000 7a62f63b70000000 456c0a1bd6d38377 456c0a1bd6d38377
7b67d4ca86000000 7b5fe2f15c000000 4024564e4c6bb09d 4024564e4c6bb09d
7b98073ec6000000 7a94c43390000000 481673d5daea9ac5 481673d5daea9ac5
*7b1c8dccfa000000 7b49e17d9e000000 3e342d37bd6cc0fb 3e342d37bd6cc0fc
7b031fa8a6000000 7b04629780000000 3fec7c3898dd1147 3fec7c3898dd1147
7b29c46efc000000 7b332e2632000000 3f94695c2659e39f 3f94695c2659e39f
*7b4ec4b148000000 7ba3291dfb000000 3d11aedf3ef9fb33 3d11aedf3ef9fb34
7afa1f47a0000000 7aa3c8b3dc000000 421bcc583774d2ae 421bcc583774d2ae
7b4ab4d376000000 7a1b504b30000000 4938771f8a2b5949 4938771f8a2b5949
*78a2500400000000 7b197984be000000 2c3af7a150d28b05 2c3af7a150d28b04
*7ada4c9d84000000 7b022223f2000000 3eb5c3b2f577feae 3eb5c3b2f577feaf
7b6b5ddeae000000 7a5f6c88e0000000 4836bc6c70cc43c0 4836bc6c70cc43c0
7b28f636be000000 7b70705ece000000 3d9f2cc9ff08c21c 3d9f2cc9ff08c21c
7b5154a6f8000000 7b8b0e42c1000000 3e05807025f370ff 3e05807025f370ff
*7ae5250580000000 7b3cb285a6000000 3cdb7e8c04dc5927 3cdb7e8c04dc5926
7a9bef4f74000000 7b8dc45cc0000000 386655df4d0620f5 386655df4d0620f5
7b2d22e474000000 79fa627590000000 498827669bc6f8c0 498827669bc6f8c0
7b98d29f81000000 7b8fdab39b000000 403fd716a25567f1 403fd716a25567f1
7b5bfed458000000 7aff39cd78000000 42e54d5905c6f24b 42e54d5905c6f24b
7b9d9f105b000000 7b0921b8ce000000 4499006636f8c2a8 4499006636f8c2a8
7b1ee93570000000 7bb671afdc000000 3af7d51527698773 3af7d51527698773
7b28d72004000000 7af5d01390000000 417eb357aeaf35a9 417eb357aeaf35a9
7b6c804674000000 7b641540ba000000 4025cb2c8eb320da 4025cb2c8eb320da
78813852c0000000 7b0b697be4000000 2b6a46bc72503f38 2b6a46bc72503f38
*7ba87c5039000000 7b2ce0e29a000000 43cbf5ca5e2269bf 43cbf5ca5e2269c0
7b8328c2d7000000 7a75e39b98000000 48446b715bbf7141 48446b715bbf7141
7b10f62354000000 7925b48440000000 4eff9f6c9126f303 4eff9f6c9126f303
*7b48e262cc000000 7b61eec3c6000000 3f1cf20c489cee20 3f1cf20c489cee21
7b373c2ae0000000 7b4f33e87a000000 3f131a1b5a04bf00 3f131a1b5a04bf00
7b8fb3d557000000 7a90649c68000000 47f634ac39f72050 47f634ac39f72050
7b598f30be000000 7b684a0d7a000000 3f7e21d75ecdd3eb 3f7e21d75ecdd3eb
7b951088fe000000 7b10cb5b9e000000 441e330e967aa079 441e330e967aa079
7b377df5f4000000 7ba4c45e55000000 3c745f61ae21021e 3c745f61ae21021e
7b58fda9d4000000 7b110d26b2000000 41fbdc9e1ff48985 41fbdc9e1ff48985
7b98e96512000000 7b818f5de8000000 40b8905fddbf7061 40b8905fddbf7061
7b90ec4129000000 7b6950c018000000 40f81b384952c13b 40f81b384952c13b
7ba653bc3d000000 7b7ad62c26000000 414e01cd02ccf582 414e01cd02ccf582
7af4bbcd94000000 7bbf3d214f000000 391e70fa7d203579 391e70fa7d203579
7b7df4e7f6000000 7b1c36691c000000 4280babfe7210069 4280babfe7210069
7bb3e5815b000000 7ba54e3038000000 405a62c5b4b46327 405a62c5b4b46327
7b170c9542000000 7b6228e980000000 3d57d5081d5d7680 3d57d5081d5d7680
*7b4916a30e000000 7b15017d38000000 4165ec41e2f0fc48 4165ec41e2f0fc47
7bbf2fa94f000000 7b9870d2e2000000 41044474cf1cdb8c 41044474cf1cdb8c
*7b5f9ddda8000000 79ab5f3f00000000 4d382d68b8165473 4d382d68b8165474
7998547a20000000 7b28b480b6000000 333936ca429d12fb 333936ca429d12fb
*7b2a6d651a000000 7b1169e1e2000000 40b0256be0dac9fa 40b0256be0dac9f9
*7b5996267a000000 7b0a0b42c2000000 424e0a65375689da 424e0a65375689db
7b26d5c9c4000000 7b6ca0b5c0000000 3da3f2cd43578524 3da3f2cd43578524
7b995fe1bd000000 7ba8a1976f000000 3f46b5c2a424cd2b 3f46b5c2a424cd2b
7afc152f44000000 79455e9f40000000 4d1bdd57551b8384 4d1bdd57551b8384
7b5b4e71a0000000 7a13818598000000 49f272125647dd4c 49f272125647dd4c
7b78f69fb4000000 7960e351a0000000 506d9fdc1facf92b 506d9fdc1facf92b
7aec472088000000 7bbb809bac000000 390a602dadcd1abb 390a602dadcd1abb
7b8d320f88000000 7ba8b900f3000000 3eb1de5bbe453b41 3eb1de5bbe453b41
7b1be198be000000 799ad5f620000000 4c06e9fe8e1a706c 4c06e9fe8e1a706c
7abf006e00000000 7b89029482000000 39938728c73e1974 39938728c73e1974
7b0a5bf61c000000 7af3c8ac20000000 408a56fa1c4efabf 408a56fa1c4efabf
*7bb1bb9575000000 7b931ec76e000000 40d5127659355112 40d5127659355113
7b0d3f14d6000000 7b42f761ea000000 3dcbb4a384bb32f7 3dcbb4a384bb32f7
7b9c215bf0000000 79bcd85790000000 4e9d366aceeae6ad 4e9d366aceeae6ad
7b9e6ddbfe000000 7b1bb9e2ca000000 4411c62c5acaeae0 4411c62c5acaeae0
7a77626738000000 7b4a1accd2000000 38e56af4d20255a6 38e56af4d20255a6
7abd628968000000 7aec36a35c000000 3e69fda92b14a781 3e69fda92b14a781
7b61b5d7c4000000 7b1b8cfcb0000000 41cddd6a42ba1cdf 41cddd6a42ba1cdf
*798ea9a3c0000000 7b8fc738ca000000 2ff01c1f108a211c 2ff01c1f108a211d
7acb4f9304000000 7bb8433c97000000 3869db327a66bcbb 3869db327a66bcbb
*7b15a9c342000000 7ba3aed0a4000000 3b5095c79d0fe026 3b5095c79d0fe025
7941375de0000000 7b277ef7bc000000 309d3e400300127d 309d3e400300127d
7bb376096e000000 7bb8dd9fb1000000 3fc4203157624ef2 3fc4203157624ef2
7b8c02b875000000 7b7c95d61e000000 406f3a3a2d22d175 406f3a3a2d22d175
7b3918e0aa000000 7a10639b20000000 4920b31fe753431e 4920b31fe753431e
7b2414cdda000000 7b900279c3000000 3c8eb8c5644932f8 3c8eb8c5644932f8
*7b15d4262a000000 7b3c1a3ec4000000 3e5f4977afcac5b6 3e5f4977afcac5b7
79e4d64d20000000 7a9dda0da8000000 39cc7d29f282cd14 39cc7d29f282cd14
7b6033258e000000 7b40af9780000000 40a779cbcc247506 40a779cbcc247506
7adde3f4b4000000 7aec0e9770000000 3f8517c7158ad659 3f8517c7158ad659
7af993ac88000000 7b0b8cc400000000 3f275d5bf5608369 3f275d5bf5608369
7b44f4528e000000 7ab9f9f7a4000000 443c7205ebf06fac 443c7205ebf06fac
7ba61e2dc0000000 7a4f9933a0000000 4a66c93935c5813a 4a66c93935c5813a
7b5304478c000000 7b490631c4000000 4032e6aa71345f06 4032e6aa71345f06
7b3f7310e8000000 7b17f89a1a000000 410a02998dd73c4d 410a02998dd73c4d
7b930196cc000000 793af6c680000000 524a4dac361346f2 524a4dac361346f2
7b4bdee702000000 7b79077524000000 3e8c9eb78d89af89 3e8c9eb78d89af89
7b54b59e2e000000 7b0b51f7ec000000 421b68c4223d6a4e 421b68c4223d6a4e
7b11000f3e000000 7b7ab8cbc6000000 3ca06c05a40e669a 3ca06c05a40e669a
7b17016454000000 7ab9bdec80000000 4280fe77d194dd60 4280fe77d194dd60
7b73c040ea000000 7ad76e0504000000 44869e75b8d15672 44869e75b8d15672
7b6830ee2c000000 7b04c05028000000 42ff0c1cb7e72fd0 42ff0c1cb7e72fd0
7accdf9c90000000 7bbf992941000000 3846f31221cd4e1d 3846f31221cd4e1d
7b619f4668000000 7ab4601e64000000 4500de1fad28a7f3 4500de1fad28a7f3
*7b6ae95504000000 7b49d03496000000 40a7f09d93598478 40a7f09d93598477
7b5ef05f5a000000 7ba8ac91a7000000 3d496f748a2851ba 3d496f748a2851ba
7ba481438c000000 7b408fa5c4000000 42d59b27bb042e47 42d59b27bb042e47
7b2b893280000000 7a4faf7070000000 469b873e981d03ff 469b873e981d03ff
*7b0a5fda5a000000 7b0a7991dc000000 3ffe83a7fcdb4261 3ffe83a7fcdb4260
7b0544ff6a000000 7b53626172000000 3d0b2f0d1fbf99d1 3d0b2f0d1fbf99d1
*7ba5849bd0000000 7b986718f6000000 40581f40678a9043 40581f40678a9042
78e9c7b1c0000000 7b556911fa000000 2c61bd273d2bf84a 2c61bd273d2bf84a
7b3b47c3c8000000 7b0c933cfa000000 415437a4fe1f35bf 415437a4fe1f35bf
7b28cb736c000000 7b83287db4000000 3d25d7abc1f2af1f 3d25d7abc1f2af1f
*7b3d616ee6000000 7b3019b0fa000000 404d390b3ef09a8b 404d390b3ef09a8c
7b5bba0d62000000 7bbc549957000000 3caab5a68eaa1796 3caab5a68eaa1796
7a72b3b7d0000000 7b048580ce000000 3b535ec895ef978f 3b535ec895ef978f
7bbf7d170b000000 7b7a0e5cda000000 422052aaff669684 422052aaff669684
7a527cc720000000 7bad5a1dbc000000 34db5c61152d73e8 34db5c61152d73e8
7ae56f1f10000000 7bb8a60fdf000000 38f85d654bd1742d 38f85d654bd1742d
7b5f39bc46000000 7b71b1bd9e000000 3f63812e93a9bbe3 3f63812e93a9bbe3
7ad6b4f930000000 799ec77070000000 4968b0d47425ea8d 4968b0d47425ea8d
7a98cbf22c000000 7b5e120c22000000 398122db42a685d2 398122db42a685d2
7b05250dcc000000 7a2e7ed570000000 461aadeb436cd765 461aadeb436cd765
*7b4fc3c9c0000000 7b707f8a66000000 3ee940e8dc5276fa 3ee940e8dc5276fb
7b9fbc51b6000000 7b8e14e16c000000 407f3c2c0567aa82 407f3c2c0567aa82
*7b4e919688000000 7b449db138000000 4033d5c05c9ab591 4033d5c05c9ab592
7a8f92f068000000 7b1e556048000000 3b4116f6908d1946 3b4116f6908d1946
7b351d3b9e000000 7b07421ba0000000 415b296f8c20a340 415b296f8c20a340
7b3a7f2320000000 7b03aed226000000 41aa3ee523f0e433 41aa3ee523f0e433
7ba5efe66d000000 7b81244dab000000 4123c28150395a27 4123c28150395a27
7b91021938000000 76fa10f000000000 604ad57b92c9da72 604ad57b92c9da72
7b098ab6f6000000 7b5c835590000000 3cfd6929ce224e87 3cfd6929ce224e87
7b04abdaa0000000 7b556a83d0000000 3cf92696d19242e4 3cf92696d19242e4
7b5ecbf0e6000000 7b26b00d10000000 4158b09abdd8ce16 4158b09abdd8ce16
7b56678c4a000000 7bb42b53ef000000 3cc293d67698ed00 3cc293d67698ed00
7b033362a0000000 7bad89b375000000 3a0c5c152ecdc582 3a0c5c152ecdc582
7a7704aeb8000000 7bb0ffa9c4000000 35951693e99f1192 35951693e99f1192
7b80e1b9fd000000 79a145bfc0000000 4e64ab8d23b780db 4e64ab8d23b780db
7b4a55fb66000000 7b04f6d69a000000 421640db2a443110 421640db2a443110
7ade783dc4000000 7901727140000000 4edfdcbef72e87cd 4edfdcbef72e87cd
7b66f62a22000000 7ae1ff25b8000000 44167f07bede623a 44167f07bede623a
7b8e1fef87000000 7b314c2588000000 4269b678919b595c 4269b678919b595c
*7b75f66978000000 7b0b7bfdf0000000 430db0f0beda3c76 430db0f0beda3c77
*7b39634c9e000000 7b5cec939a000000 3eb692ddde9acdf5 3eb692ddde9acdf6
7b7c7b90ce000000 7b55a32bac000000 40ba31296c7e1d9f 40ba31296c7e1d9f
7b0e38b924000000 7b7271fa46000000 3cb16246118ec24e 3cb16246118ec24e
7ac23e5338000000 7ba3ce02e1000000 38be4872871ef0e7 38be4872871ef0e7
7b4f5e8de0000000 7abb3574d4000000 446e46512fecea19 446e46512fecea19
7b8e9f98b7000000 7abb2e8e08000000 461879d44685d9f0 461879d44685d9f0
7aa0196960000000 7afcbcb614000000 3d115447823fec91 3d115447823fec91
*7b25334cc6000000 7b8fb5a149000000 3c99221297bc8639 3c99221297bc863a
7b5bf91576000000 7b42727e34000000 40866c872ba8e2c5 40866c872ba8e2c5
7afa05132c000000 7a30172898000000 45ade9da5f63939f 45ade9da5f63939f
7ba0686ca0000000 7b911aeb2f000000 406bfda598be82b5 406bfda598be82b5
7a96e21970000000 7b8e64f75b000000 383d0ba3b0e83e07 383d0ba3b0e83e07
7b64a85492000000 7b48739650000000 409016ee3e18c29d 409016ee3e18c29d
7a919f71b8000000 7a15e4b748000000 43c5a695530cc7bc 43c5a695530cc7bc
7b6aa96cae000000 7b1440c596000000 4254d5cc95d47894 4254d5cc95d47894
7a84863910000000 7b4f51c140000000 391d237f68ad145e 391d237f68ad145e
7bae5a2df3000000 7b0b12d566000000 4503c1e29b2ae74e 4503c1e29b2ae74e
7b74caef14000000 7ba3aee44a000000 3dfb6b9abcf605e7 3dfb6b9abcf605e7
7b8fa9cd7e000000 7a5c382e70000000 49380ab94ba943da 49380ab94ba943da
79b57c4ea0000000 7bbe03fb71000000 2fa41035539fc586 2fa41035539fc586
7b4220e102000000 7937a78e80000000 503a663657a5dfd5 503a663657a5dfd5
7b4365bf76000000 7b61747bfe000000 3eeef62734313f63 3eeef62734313f63
7ba1444243000000 7b5a15494a000000 41ea70e7a7f73960 41ea70e7a7f73960
7abaf8e5c0000000 78952cb100000000 510375bda18114e0 510375bda18114e0
7b658fc234000000 7a0388c958000000 4afb255e870e0bbc 4afb255e870e0bbc
7b661de188000000 7b940c235d000000 3e37a626ddd4a1e0 3e37a626ddd4a1e0
7b7af77ba2000000 7a8734a8d0000000 476cbba322dad86a 476cbba322dad86a
7a3306b108000000 7b60873dd6000000 3660f58e1527ae03 3660f58e1527ae03
7bb23e4360000000 7996fc6e50000000 50b8dc3d16f9b39b 50b8dc3d16f9b39b
7b844fc248000000 7bafba0131000000 3e06055de0b8ce17 3e06055de0b8ce17
*7bab3cf11a000000 7a5584c348000000 4a6a757b002de17c 4a6a757b002de17d
7b9ffda01c000000 7b3af668f4000000 42d88c88b73d2735 42d88c88b73d2735
*7ba4205f4f000000 7ba44d6264000000 3ffdceeebe2c99cb 3ffdceeebe2c99cc
*7b8d3535ab000000 7b1ebaa0d2000000 431deeeb45f67eac 431deeeb45f67ead
7afbf7eb34000000 7bad32d5c7000000 39d1b5cca55d6347 39d1b5cca55d6347
7bacd884e3000000 7b463cb438000000 42f9ae3014380d19 42f9ae3014380d19
7b23007056000000 7bba0dba8e000000 3b024115d310f5ed 3b024115d310f5ed
7ac9eeaa14000000 7a03f197c0000000 461f2c5342a01882 461f2c5342a01882
7b4e8120aa000000 7b3ea85ed0000000 40551c5d55216fc9 40551c5d55216fc9
7b67391a2a000000 7bb8c0c880000000 3d018fbec81d3560 3d018fbec81d3560
7a4b0f4fb0000000 7a9860de68000000 3d5495b7bac9c641 3d5495b7bac9c641
*7b127df6f0000000 7744f49600000000 5b3680fe8307e352 5b3680fe8307e353
7b856c6703000000 7af36e2234000000 446280d9d0e4c18c 446280d9d0e4c18c
*7ae58d0b2c000000 7a767287c8000000 437396d09624c109 437396d09624c10a
7b45e78050000000 7b82a23e44000000 3e0f506c6233aeb7 3e0f506c6233aeb7
7b9f70cb45000000 7b50c04e56000000 421c3a3ade4e7d13 421c3a3ade4e7d13
7afdf71b44000000 7b31a81c20000000 3db7d647c3f58a11 3db7d647c3f58a11
7965cf0480000000 7a89c61be4000000 36ac0bba90548c91 36ac0bba90548c91
7b36ec98a8000000 7a9a7d0da4000000 44bc7b2e55671ef8 44bc7b2e55671ef8
79ad1ae240000000 7b9af41326000000 3077f425c93dc02a 3077f425c93dc02a
7b7ee6a2f4000000 7b92002649000000 3efbca860ac33f70 3efbca860ac33f70
7af596687c000000 7b35d33b9c000000 3d67189c6db730a8 3d67189c6db730a8
*7ae27da6c8000000 7b106e9088000000 3e45c90764bf9028 3e45c90764bf9029
7b6bbb61e8000000 7ae04aecb0000000 443439c472594b1b 443439c472594b1b
7b346edd1a000000 7bb3434b14000000 3c06af3b06b60121 3c06af3b06b60121
7b92fc58fb000000 7a16b6c1f8000000 4bcd56d84c826686 4bcd56d84c826686
7b76f526b0000000 798da09ef0000000 4ef98ff91a4dc8d6 4ef98ff91a4dc8d6
7b15d326d8000000 7b2b6403ca000000 3efe4d82353fa5d5 3efe4d82353fa5d5
7af075540c000000 7b3bcbd8ce000000 3d1f26b4d408694c 3d1f26b4d408694c
7b5111b448000000 7ade5fa16c000000 4385785830c15a62 4385785830c15a62
7b4d7fecac000000 7acdc9b640000000 43fd21ab0f930864 43fd21ab0f930864
79d49ea400000000 7ba2dd4b5a000000 3138d54629098b2d 3138d54629098b2d
7a0ac2cfb8000000 7b6ba588ca000000 34b5f8094103c5f7 34b5f8094103c5f7
7b34ea676a000000 7b7030a09c000000 3e0697b237352542 3e0697b237352542
7aa514c7d4000000 7b4f7e3bec000000 3a5d62adf6c7b2a8 3a5d62adf6c7b2a8
*7b9af59ba8000000 7bba9d8bed000000 3ea497cc92e9014c 3ea497cc92e9014d
7b3b23c4b6000000 7b6ad59eba000000 3e600d6e0e9b873d 3e600d6e0e9b873d
7bb2b5dc3b000000 795ae28a00000000 52881b3fe737b2b7 52881b3fe737b2b7
7b3a53daa6000000 7b1b56efc6000000 40cc45dcd4657d38 40cc45dcd4657d38
7b02e9407a000000 7aeaef3eb8000000 407531ca1c76e8d6 407531ca1c76e8d6
7b062c8e80000000 7b6854f8f0000000 3c9ebda758dfe96d 3c9ebda758dfe96d
7b0325c7fe000000 7ba0403494000000 3a8c0fd2cb61e6f4 3a8c0fd2cb61e6f4
7b03abe8b6000000 78c1e10f00000000 516ee227073984ac 516ee227073984ac
7b35f80884000000 7b84ec3b9c000000 3d79d660ffdb6beb 3d79d660ffdb6beb
7b6e64016a000000 7a64774208000000 482c7bcf29fbbd66 482c7bcf29fbbd66
7ba52c7030000000 7ae41fd440000000 45cadb1a6b6330ca 45cadb1a6b6330ca
7b9f966c7e000000 7543a39000000000 63f1e06d5cd4b4fb 63f1e06d5cd4b4fb
7af7d0c2b0000000 7b96c86d33000000 3a92f82e08ae611c 3a92f82e08ae611c
7b9cb75cb4000000 7b464141b8000000 4252e66e932d2a43 4252e66e932d2a43
7b1fa0c486000000 7bbc4dc932000000 3ac81ecce643e05e 3ac81ecce643e05e
7b46922a9e000000 7b8dc492f0000000 3d9a4b050df8e617 3d9a4b050df8e617
7b262c6cca000000 7b80007203000000 3d315ec6386f3d18 3d315ec6386f3d18
7b61ca6798000000 7a8b9a62a0000000 4678316667cf2605 4678316667cf2605
7bbc4e3b35000000 7b285c9236000000 44794d5fd82391e1 44794d5fd82391e1
7ac2acae60000000 7b8f64719a000000 396e37f68cdd0e9a 396e37f68cdd0e9a
7b285d763c000000 7ba1905f65000000 3c2b1b1b1c1ee429 3c2b1b1b1c1ee429
7ac92c2908000000 7a03e7b298000000 4619bbec880e0c47 4619bbec880e0c47
7b6b7d5100000000 7b45ec6bb6000000 40c25b155495e664 40c25b155495e664
7a7f0b3f68000000 7b13dac73e000000 3ae65d15f78a97eb 3ae65d15f78a97eb
7b84869540000000 7b2458e460000000 4273756ac0acbcdc 4273756ac0acbcdc
7b34d4b3e4000000 7b748a7b80000000 3dea6f9fd9294192 3dea6f9fd9294192
7bb522a80b000000 7b749783c0000000 41ecaba657a74819 41ecaba657a74819
7b086542be000000 7bb9a93d4b000000 39e08f21836f2348 39e08f21836f2348
7b18f06820000000 7b9e9cfb52000000 3bb6bc7a57af612a 3bb6bc7a57af612a
*7acfb9ec2c000000 7b819adc1b000000 3a693b4941428d35 3a693b4941428d36
7b98e8bd32000000 7b704238d6000000 41176b75d809463b 41176b75d809463b
7bbb441966000000 7b4ac1e284000000 4363869e728bd9a8 4363869e728bd9a8
7b96be17bd000000 7b5e6528e2000000 416c29bf221c9fe0 416c29bf221c9fe0
7b4df79aba000000 7bafa6d4ef000000 3cb0bb1ff064e534 3cb0bb1ff064e534
7b4ea761b8000000 7ba23fe6c3000000 3d183f288f7ea2cc 3d183f288f7ea2cc
7b9507c631000000 7b7c239132000000 40ba800386b05747 40ba800386b05747
7a0b93d9a0000000 7b7807271c000000 348082aed1cfd4e2 348082aed1cfd4e2
*7ab6e27620000000 7b718c5822000000 3a0e9ccd75b196fb 3a0e9ccd75b196fc
7b9e437a51000000 7b0580c772000000 44bdeb527f856c9a 44bdeb527f856c9a
7b6dafe954000000 7abed7d614000000 44fb59721bf818b7 44fb59721bf818b7
7afb0fdd1c000000 7ba4909233000000 3a1a3a18543a06fd 3a1a3a18543a06fd
7aa1f08658000000 7b3a0ee330000000 3af684de65925868 3af684de65925868
7b4ea1ebd8000000 7b9f541641000000 3d3005991b830c7e 3d3005991b830c7e
7b8cbd671e000000 7ba614ed34000000 3ec7808c103c123d 3ec7808c103c123d
7b07c950e8000000 7ad4e622d0000000 411a357aa855c256 411a357aa855c256
78c717b300000000 7aacd67980000000 309b8cc535560dc5 309b8cc535560dc5
7b291b3dea000000 79fd9c5ea0000000 4955989756434897 4955989756434897
7b22951728000000 7a43923b48000000 46a68b6c488fafc1 46a68b6c488fafc1
7b0a269d3e000000 7b28cdd4c2000000 3e8c1a55214c031d 3e8c1a55214c031d
7b074fcb94000000 7a4d076ca0000000 45479b0d952e8ebd 45479b0d952e8ebd
7b48816096000000 7a27938af0000000 48c937c030f85a29 48c937c030f85a29
7bb21334fe000000 7aa54ffba8000000 484f0e449e2f63af 484f0e449e2f63af
7b52b2b77e000000 7b6b763590000000 3f289c57a091de7b 3f289c57a091de7b
7b05e9d8fe000000 7b1b341816000000 3ee710d7241c284f 3ee710d7241c284f
79aad8c260000000 7b6a1042fa000000 31d6de36b733e5d8 31d6de36b733e5d8
7b6ddc15ea000000 7b680dcfcc000000 40199e948beecc86 40199e948beecc86
7b5586788a000000 7ae78bddd0000000 43609b1051c4bcc3 43609b1051c4bcc3
*7b0341e7e2000000 7b6ae190d6000000 3c78794089272902 3c78794089272903
*7abbac63c4000000 7ae23bfb98000000 3ea2eccbbe7461f2 3ea2eccbbe7461f3
7b52ef60a2000000 7a4d72a9b0000000 481b596c6efce53b 481b596c6efce53b
*7b64e3ecb6000000 7aac629108000000 454fa6fdf81db15b 454fa6fdf81db15c
7b8f1f1da2000000 7a85743d30000000 484a2ddbf37c7614 484a2ddbf37c7614
7b474f4652000000 7b712d9be6000000 3e9c77ae0c131754 3e9c77ae0c131754
7bbb0b6483000000 7b2c333308000000 44584621456baf26 44584621456baf26
7ba3af7236000000 7b1455044a000000 4469fd70058c5d47 4469fd70058c5d47
7b6eed51a0000000 7b8757155f000000 3f0fc0285c4dc541 3f0fc0285c4dc541
7b0582a030000000 7aca08354c000000 414964e4db76e2bc 414964e4db76e2bc
*7b3ae15dc6000000 7b4ce1849c000000 3f4c10af80feb30d 3f4c10af80feb30e
*7b79591ef0000000 7b29ceaf66000000 41dfa8df9fce64d8 41dfa8df9fce64d9
7b5b8faf5a000000 7bbf6ddf91000000 3c967c31613f4f90 3c967c31613f4f90
7b87696507000000 7b16710d20000000 433364de2ebad964 433364de2ebad964
7a977a877c000000 7902be8fe0000000 4ca26467af00ad54 4ca26467af00ad54
7ba01fde44000000 7b93a6798d000000 40568329548f7c0b 40568329548f7c0b
7b0707a820000000 7ba789434b000000 3a72a2d071d349b0 3a72a2d071d349b0
7b3dbe003a000000 7b52c4ebe0000000 3f33af4c9eb691a8 3f33af4c9eb691a8
7aae7cdf28000000 7b7dfdbcc2000000 397ef14b4709c571 397ef14b4709c571
7b7a11defa000000 7b5e4617b6000000 40800de5f0d293f6 40800de5f0d293f6
7a9a2086b0000000 7b37cfdf34000000 3ab5417757a64a11 3ab5417757a64a11
7b310b0396000000 7b244eb2ee000000 404f5f005142a6dd 404f5f005142a6dd
7b35cd9bf8000000 7b2b1ce290000000 403ff987ce678e52 403ff987ce678e52
7b0294caa4000000 783777d400000000 55b1a3bb530067a0 55b1a3bb530067a0
7ac5d98388000000 7b99cfe71d000000 39252dc215062b98 39252dc215062b98
7b272c923e000000 79c5d2ede0000000 4ac2b17bb1dab1a9 4ac2b17bb1dab1a9
7b5ebcb0ca000000 7a27057388000000 4955974663f24c9c 4955974663f24c9c
*7b8dcc1e87000000 7a8352e51c000000 4851aae64924e5be 4851aae64924e5bf
7abac25638000000 7b42c4cf4c000000 3babc7362c22a881 3babc7362c22a881
7bad31e826000000 7a70776f98000000 49c31012e5ca136d 49c31012e5ca136d
7b6c862c30000000 7bbafe06ad000000 3d0f3e882979ab12 3d0f3e882979ab12
7bbee3a73b000000 7a93ceae98000000 492a780433f2367f 492a780433f2367f
7a63037298000000 7b582b1ec2000000 38335f97d6f28f69 38335f97d6f28f69
7b06053334000000 7a151c2358000000 4730bf2f61cca327 4730bf2f61cca327
7ba713960e000000 7b81e640d5000000 412511577f6d90ae 412511577f6d90ae
7bb7973012000000 7b06e808c2000000 457188ede1a1183d 457188ede1a1183d
*7b5bf7a06c000000 7b75339358000000 3f2d3c780b4c4f75 3f2d3c780b4c4f76
7b2c2f119a000000 7b950f6644000000 3c9eda68f50f21aa 3c9eda68f50f21aa
7b79001502000000 7b8daeb8df000000 3f07a1b4ad11834d 3f07a1b4ad11834d
7b3106d54a000000 7b54f7b56e000000 3ea65fe9660b3e73 3ea65fe9660b3e73
7b8848828b000000 7aba6bcdc8000000 45d930ff2305322e 45d930ff2305322e
7b7f1681f6000000 7b84c88d0c000000 3faf3059a3288659 3faf3059a3288659
7af126b144000000 7b301d5740000000 3d7a25ea0476869f 3d7a25ea0476869f
7b5e88cf86000000 7b09245db8000000 427d999b77b3ea75 427d999b77b3ea75
7b86a99f13000000 7b5d9f517c000000 40dc68ddad08cc5a 40dc68ddad08cc5a
7b12b577d0000000 7b05e696c8000000 4061f3153f6ef768 4061f3153f6ef768
7b0dbca8bc000000 7ae27c8eac000000 4101a74922be8966 4101a74922be8966
7b87857a41000000 7b1b0fe6e2000000 42fde9ede02da35f 42fde9ede02da35f
7a9dbb31a4000000 7b21c06c52000000 3bcd1888459173ef 3bcd1888459173ef
7b907b3ed6000000 7b5c9a4190000000 413d5125a6b2a2f3 413d5125a6b2a2f3
7b897f59d5000000 7b9800b917000000 3f3c9020676b2c62 3f3c9020676b2c62
7aef5450e4000000 7ac3b898f8000000 40e427d98db6f648 40e427d98db6f648
7b51c1de80000000 7b18a0a61e000000 417f4ab2be335041 417f4ab2be335041
7a79da3830000000 7b64c0922a000000 385e7442368052e0 385e7442368052e0
7b48a2184c000000 7b3620b680000000 40680b947d71e187 40680b947d71e187
7ba34e6f54000000 7b1a63f6ce000000 443b229026fda6f8 443b229026fda6f8
7a9d82b93c000000 7b05136cb4000000 3cbc05a35b08663b 3cbc05a35b08663b
7afe4911f0000000 7b8bb1ba76000000 3b47fcb17ffe46b0 3b47fcb17ffe46b0
7a6cd08cd0000000 7b45c167a0000000 38ca40b0dfcb9bd2 38ca40b0dfcb9bd2
7b31c76bba000000 7b09f57fd4000000 4127905795222e4b 4127905795222e4b
*7ba56a6a2b000000 7a43afd2c8000000 4ac330fdf7bd1912 4ac330fdf7bd1913
7b2158f4c0000000 7b0608f78a000000 40d0a95b4b02715d 40d0a95b4b02715d
7b76ad5c54000000 7ba990303d000000 3dd1b1d36fba8670 3dd1b1d36fba8670
797fe775e0000000 7ba0c11855000000 2e5e1a11750a5a48 2e5e1a11750a5a48
7b040c552c000000 7b31576c1e000000 3df4eff422da745a 3df4eff422da745a
7b478b2834000000 7af5736300000000 4280f55a6c250b03 4280f55a6c250b03
788ef99300000000 7b57899f94000000 294e85ac34e5286e 294e85ac34e5286e
7b3c3be22a000000 7b088421c6000000 4183eea464938730 4183eea464938730
7b08e10bb2000000 7b03c70a60000000 4027a48a4c9b0ee0 4027a48a4c9b0ee0
784f74d180000000 7b0d58d84c000000 29deef13ec798258 29deef13ec798258
7bada854fa000000 7b3f79b572000000 43416c046268ed11 43416c046268ed11
7b8aee7d09000000 7b6431b5a6000000 40dee29227a41bd5 40dee29227a41bd5
7ba1a05fe9000000 79c8d66ac0000000 4e70271dd7fd1f79 4e70271dd7fd1f79
7ae3151be4000000 7b1e9169c6000000 3dba73c908cdc7da 3dba73c908cdc7da
7b589482ca000000 7b07678804000000 4265e4c8c5616061 4265e4c8c5616061
7b02c31f6c000000 79deaa14e0000000 48b2b61c1a388ec4 48b2b61c1a388ec4
7b2082555e000000 7b744dad60000000 3d418d00454cb14f 3d418d00454cb14f
7b3a66ac62000000 7af22db050000000 42285075b76c9fc0 42285075b76c9fc0
*7af76a6ac8000000 7b9e94e5e8000000 3a3d9f7e9a645fcd 3a3d9f7e9a645fce
7b14ec1ac6000000 79e1bc5610000000 49471b210cb5e4cb 49471b210cb5e4cb
7b98bbbc98000000 7a9ea58e50000000 47b3a9d3011087ce 47b3a9d3011087ce
7b154e62ec000000 7b2d2cae94000000 3ee5ba4ec1e19261 3ee5ba4ec1e19261
7b0c7c92f8000000 7b951d3ed9000000 3b898124d19a6802 3b898124d19a6802
7b49643958000000 7b3df40c28000000 403da9359017fd4e 403da9359017fd4e
7af31a89b4000000 7baf594e22000000 398bac78c55bb265 398bac78c55bb265
7bb5905d5f000000 7b8304ebea000000 418b0a1e8912b7a2 418b0a1e8912b7a2
7b08ed19f6000000 7b9a427a0b000000 3b19e00228db1dd5 3b19e00228db1dd5
7b43fde3fc000000 781e97b400000000 58f17a933236e694 58f17a933236e694
7b1337905a000000 7a3c7a7ae8000000 463fa7ba64281620 463fa7ba64281620
7908436a40000000 7b8e125528000000 2bac45b02342f8e6 2bac45b02342f8e6
7ac74725a0000000 7b4c821aa2000000 3bcb9f536cac923e 3bcb9f536cac923e
*79f4f84900000000 7b76db232a000000 33f05a18ba6027c1 33f05a18ba6027c2
7b7ba0b95c000000 7b93919fe3000000 3ed21448234988a6 3ed21448234988a6
7997fe6bd0000000 7bafa22617000000 2eec58e7e9c7e59e 2eec58e7e9c7e59e
7b73a55a68000000 7b98cf6b4e000000 3e60b372ccfa4505 3e60b372ccfa4505
7aac3edeb0000000 7b6f4613c4000000 39c24a52d9855271 39c24a52d9855271
7bac610b31000000 7bb48f9e6a000000 3fa3326f9af5954a 3fa3326f9af5954a
7a9d14bfe4000000 7ba633b865000000 378f9bab0b61bd7b 378f9bab0b61bd7b
7b1abe1370000000 7b24a9cf4c000000 3f849c0fa2876338 3f849c0fa2876338
7a6eb61238000000 7b738029d2000000 37d7b7c2c758e79a 37d7b7c2c758e79a
7b86e48610000000 7b0a37e482000000 43ceb9264bddd061 43ceb9264bddd061
7b9ff3cd4e000000 7b28871f90000000 4397c9ce4530ca76 4397c9ce4530ca76
*7b9770d9e7000000 7af72a3e54000000 44e6d53de5872221 44e6d53de5872222
*7b1c074962000000 7b9e555ff7000000 3be22f5f41b74a49 3be22f5f41b74a48
78b9a07580000000 7b5beee3fe000000 2ac08ab39350e19d 2ac08ab39350e19d
*7b6531df7e000000 7a52badde8000000 4859b9f0b99e4026 4859b9f0b99e4027
7aaf080650000000 7b013928e0000000 3d6afed471a1cb14 3d6afed471a1cb14
7ae2b2eed0000000 7baea8836b000000 39311bb78e772975 39311bb78e772975
7bae94066f000000 7aad16adcc000000 4808d00fcf3067ec 4808d00fcf3067ec
7b11ffbe50000000 7b34ac1006000000 3e76f6eab07e8658 3e76f6eab07e8658
7b57c47fc6000000 7b81ac9add000000 3ea7daad8acf7f8a 3ea7daad8acf7f8a
798fe8b6e0000000 7a53b23290000000 39703622700fa878 39703622700fa878
7ab3c91940000000 7b23fcd52e000000 3c62a5e8285eaf1a 3c62a5e8285eaf1a
7b69989cac000000 7b98d48634000000 3e1d26e85d1d3c61 3e1d26e85d1d3c61
7b27560ae8000000 7b7b95b388000000 3d522eb03a436153 3d522eb03a436153
*7acd2b3218000000 773a978800000000 5937a71b68676cdd 5937a71b68676cde
7b1f9288b6000000 7aa05c6b70000000 43f5edae656c4709 43f5edae656c4709
7a4b8e8fc0000000 7a8dd1273c000000 3dbdcc199ee052e3 3dbdcc199ee052e3
7ba5e1f4a1000000 7b19793cfe000000 4452cb8f3cf8b4c5 4452cb8f3cf8b4c5
7ba4119594000000 7b6b5671f8000000 4193ca1faf59a787 4193ca1faf59a787
7b69a772b6000000 7af60d9e30000000 4398cc1aa25ebf76 4398cc1aa25ebf76
7b991f82cc000000 7a55ad6fe0000000 49bb9d3369af28a6 49bb9d3369af28a6
79a40060b0000000 7bbd311860000000 2eef50aab2d2a679 2eef50aab2d2a679
7b9060e6f9000000 7b7e277ece000000 408b6a8f644e0160 408b6a8f644e0160
*7b7568ffd8000000 7ba98069c5000000 3dca94ecdc8b52b8 3dca94ecdc8b52b9
*7a4e4b6b18000000 7b84f485f8000000 3634d95105315302 3634d95105315303
7b4d63044a000000 7aa8a95170000000 44def8dec0fed432 44def8dec0fed432
7b8408455f000000 7b42cc0422000000 416c1f905f24994e 416c1f905f24994e
7b27557c42000000 7a6e8d9610000000 459c93bdf31eb8be 459c93bdf31eb8be
7b4cb51012000000 7ae9710118000000 4303e9bedc432606 4303e9bedc432606
7b0ff80e3e000000 7b54c59ad0000000 3d69bfc071129fbf 3d69bfc071129fbf
7b9bc24258000000 7a5d362a00000000 49a208131221a590 49a208131221a590
7b8834802b000000 7887329840000000 5807a1678e48c41c 5807a1678e48c41c
7b2c060b0e000000 7b20610e94000000 404a593630f7d9bb 404a593630f7d9bb
7b58ff2f94000000 7b638a8fbe000000 3fa11817abaab1a6 3fa11817abaab1a6
7b57ae9914000000 7b69682fea000000 3f64797f84ff73a2 3f64797f84ff73a2
7b67c42480000000 7b03b4a422000000 4309f654f86dc61e 4309f654f86dc61e
*7b09c93e7e000000 7b40c35414000000 3db7e6a89a071bd4 3db7e6a89a071bd5
*7ace7e67c0000000 7ac2efaf24000000 403cb628b212e42c 403cb628b212e42b
7b2a2b83fe000000 7ba781ac31000000 3c10483d1654200f 3c10483d1654200f
7b652c7bb6000000 7a4fd309f0000000 4869318abb1bc674 4869318abb1bc674
797c6ac760000000 7a98d75f2c000000 369b224f204118db 369b224f204118db
*7b156c9a10000000 7b39f23076000000 3e6dc0230ccdda6d 3e6dc0230ccdda6e
7b1b6f07f8000000 7bbd4c8ae3000000 3a919dbd16a33055 3a919dbd16a33055
7b6de6f2f2000000 7b2b35b470000000 418ee280bbff33e3 418ee280bbff33e3
7b4704c55c000000 7b03538d02000000 420fd24d2cfe8ed1 420fd24d2cfe8ed1
7bb293f273000000 7bb139e6ab000000 4007cf6dc78fbc90 4007cf6dc78fbc90
7b7deca2c8000000 7aa61dafb0000000 461d48873a8df9b5 461d48873a8df9b5
*7b86d4c0e3000000 7b44f16826000000 417a19ee0ff8b066 417a19ee0ff8b067
7b566264dc000000 7bb968b356000000 3ca0075e17562578 3ca0075e17562578
7b93b29abe000000 7b544f07a4000000 4190bd96d52fdb80 4190bd96d52fdb80
7b45e03e84000000 7b9a875ba1000000 3d1f3e9d72ce5069 3d1f3e9d72ce5069
7b19406fca000000 7b1c42a360000000 3fd8917b0748962d 3fd8917b0748962d
*7b27e01dee000000 7b40a5a546000000 3ef8a8391e5b7e75 3ef8a8391e5b7e76
*7bb848d583000000 7adb80b8e4000000 46b7682ca7afabaf 46b7682ca7afabae
7b75b45c88000000 791d21ad00000000 5241372227afc7c8 5241372227afc7c8
7b85017fea000000 7b1d947a76000000 42c09ed4eb7f76ee 42c09ed4eb7f76ee
7b4a77c018000000 7bbd4a556d000000 3c47491966fcd896 3c47491966fcd896
7b85aa6b75000000 7b402c1ca0000000 41907d33cecb62ba 41907d33cecb62ba
7b0466c5aa000000 7b8aabeb5f000000 3ba3660bb93e6409 3ba3660bb93e6409
7baee04b8c000000 7a9dbd0b84000000 486f40f8198f70a2 486f40f8198f70a2
7b0fec8198000000 7bb48ab701000000 3a609e72207ab925 3a609e72207ab925
7b87855132000000 79a29a3a10000000 4eaae70ee6b98053 4eaae70ee6b98053
7bbf36a260000000 7bb6659cbe000000 40317f36e8335c1d 40317f36e8335c1d
7bb198e683000000 7b872ce32c000000 41415c5b9da0cc81 41415c5b9da0cc81
7b55e0a77e000000 7bb91e37b5000000 3c9f1618d0c3eac0 3c9f1618d0c3eac0
7a0ab43668000000 7baa26f61f000000 32857ae7d4cf7065 32857ae7d4cf7065
7b5f07a8e6000000 7b05dedaa0000000 42a9ff17f7cc8bc9 42a9ff17f7cc8bc9
7bb153d94b000000 7b34e85064000000 43d778f7eb2ae1db 43d778f7eb2ae1db
7b781b4a0a000000 7b0554c030000000 43717dbf4a614be1 43717dbf4a614be1
7b849b1e51000000 7b5722f2f0000000 40ee58d7463903d7 40ee58d7463903d7
*7b8599cd69000000 7bb5eef79c000000 3ddfecfc37bcb3c2 3ddfecfc37bcb3c1
7b0c0b4354000000 7853b93700000000 554aa508e3075001 554aa508e3075001
7b7132af68000000 7b15417ff6000000 4276c99e914ccc88 4276c99e914ccc88
7b5a71d7ce000000 7b7c664a3a000000 3eec7c74bee9a3d5 3eec7c74bee9a3d5
771f6f2e00000000 7accfa3644000000 259bed7f9b7130aa 259bed7f9b7130aa
7b7fb52f18000000 7b72521e98000000 40389219dafbda40 40389219dafbda40
7b7bbe9b1a000000 7b5a2706e6000000 409dae1fbfe6d906 409dae1fbfe6d906
7b6eb868d2000000 7b7cde0a48000000 3f8d6c0552ae6fc0 3f8d6c0552ae6fc0
7a81484410000000 7b6e6d97ea000000 38567b685065946a 38567b685065946a
*7b6f3028e0000000 7a718af488000000 47ec08fff78d1215 47ec08fff78d1216
7b48949ed0000000 7b5de891b4000000 3f3b29f7be1a8304 3f3b29f7be1a8304
7b9ca063b6000000 7b849c606d000000 40b971fbcb5d52bc 40b971fbcb5d52bc
7b4c56299e000000 7a21c3c130000000 490d7ceba50b7520 490d7ceba50b7520
7a8b36fbf8000000 7b14eac870000000 3b7a9145144f6a11 3b7a9145144f6a11
78cb304000000000 7afdb88ad0000000 2e681c069b601e25 2e681c069b601e25
7b1e23894a000000 7b52afaba0000000 3e0134f47c084c67 3e0134f47c084c67
7b274d35b6000000 7b63bf0746000000 3de074366677dcec 3de074366677dcec
7bb3cd3a08000000 7b2da6b7b6000000 442444afabf44b24 442444afabf44b24
7bb14da658000000 7b82defead000000 416b4f11161691a8 416b4f11161691a8
7b00566356000000 7b84f44133000000 3bb8e2c029942e66 3bb8e2c029942e66
7b697d04a0000000 7b67f0d766000000 4006d51697fa108a 4006d51697fa108a
7b378f3a1c000000 7a9830a2a0000000 44d3114b237433e6 44d3114b237433e6
*7b6daed4c0000000 7b9bf2ceba000000 3e18b0d2a98219b0 3e18b0d2a98219b1
*7aac01a76c000000 7b572bd962000000 3a652841fc8212df 3a652841fc8212e0
*79feb3a6d0000000 7b86c806ea000000 338f18173e0d4a5a 338f18173e0d4a59
7a0d10aac8000000 7b86c2a4ce000000 342fe8708fbee2bc 342fe8708fbee2bc
7ba2bad5a4000000 7bbca27f35000000 3ee6c04e5621cbcc 3ee6c04e5621cbcc
7b64b122fe000000 7aca984044000000 4483e80a29b7d48f 4483e80a29b7d48f
7b06d50c3e000000 78fea9b600000000 503c52312d74f15e 503c52312d74f15e
7ae5a2d37c000000 7b4c4ab786000000 3c7f093c5d6e708d 3c7f093c5d6e708d
7b013a4c1a000000 7aaf051978000000 41e82955abd56f05 41e82955abd56f05
7a465b5ea0000000 7900f58580000000 4a270dc9e0374954 4a270dc9e0374954
7bafbbed37000000 7b24684168000000 44468c6a67be1df7 44468c6a67be1df7
7b545a0fde000000 7b60b22688000000 3f8f7d3e54640a0f 3f8f7d3e54640a0f
7b7beace24000000 7b82f873c4000000 3fb1a0d0e91decfb 3fb1a0d0e91decfb
7b68c17ee2000000 7ab6c55124000000 45180c7704578ec9 45180c7704578ec9
7b2a5928f0000000 7b3d1b8ec0000000 3f34d6f141f6896f 3f34d6f141f6896f
7b9e0a678e000000 7b2643f714000000 439ab07137964113 439ab07137964113
7b430c7648000000 7b926b26ff000000 3d541a194b0352e8 3d541a194b0352e8
7b80d34fd4000000 7adacb3e70000000 44b5dbc210d2ce83 44b5dbc210d2ce83
7b61f1dcbe000000 7b9eddb762000000 3db05e2acfbbf942 3db05e2acfbbf942
7b89d4cb27000000 7b24fe5308000000 42aed88258fdef06 42aed88258fdef06
7bb148de61000000 7b8aa81afb000000 411d45fb8d3090f9 411d45fb8d3090f9
79931f9200000000 7a89073300000000 384b70cb7786e8a4 384b70cb7786e8a4
7ba985d25d000000 7a18362238000000 4c74761b1cd50591 4c74761b1cd50591
*7b6981ec88000000 7b359d617c000000 412496587def9c3f 412496587def9c3e
7a6d76fa10000000 7b7be5deca000000 378aa77df166afdc 378aa77df166afdc
7b7a20fafc000000 7b0e69633e000000 430688259f412fe4 430688259f412fe4
7a07cd9d60000000 7b63a2e786000000 34c5cb7c57070353 34c5cb7c57070353
7a880d8974000000 7baea892ef000000 363b5214c279f99d 363b5214c279f99d
7b5f88c650000000 7b9f13dfdc000000 3d9eea15ec82b507 3d9eea15ec82b507
7b6bba891c000000 777c2da800000000 5cf5db7e9e1d0b8b 5cf5db7e9e1d0b8b
7b90e5539f000000 7b97e0a6ec000000 3fa1dab9cdc96feb 3fa1dab9cdc96feb
7abd9aa70c000000 7b80a9b6c7000000 39e5046dbac67dc3 39e5046dbac67dc3
7bb6f486c8000000 7ba543ee52000000 406d9b9cd34776e8 406d9b9cd34776e8
7833e6cd80000000 7b0fb3b4ce000000 2901f4055294dd34 2901f4055294dd34
7bbd24953e000000 7bb0ce775f000000 404772ae613ebc83 404772ae613ebc83
7b1107225c000000 7b6832380c000000 3cff2a16bc04c795 3cff2a16bc04c795
7b2c24cb62000000 7b13d6bd92000000 40a858d192ae2919 40a858d192ae2919
7bbbf2f66d000000 7b9336faef000000 411b5735b514c962 411b5735b514c962
*7b7573ac50000000 7b8476879b000000 3f6974ab641eb2f7 3f6974ab641eb2f8
*7b875016f5000000 7b219877b2000000 42b2e72258ae6190 42b2e72258ae618f
7b1cc3ccc8000000 7b06861ac4000000 40a94c995884ac3e 40a94c995884ac3e
7b48066d90000000 7b1237791a000000 4178d633d4c6321f 4178d633d4c6321f
7b0f7329fa000000 7b56a69b7a000000 3d58aa8d2508abe4 3d58aa8d2508abe4
*7a4f3fc330000000 7a30dbdb08000000 40aff51c355c7376 40aff51c355c7377
7aba596c7c000000 7b7bd65e5e000000 39eb6f93de507723 39eb6f93de507723
*7b3e6e6fdc000000 7b6c9fe038000000 3e703215e600089c 3e703215e600089d
7b527cf9d8000000 7b723e60aa000000 3ef3879ea3f8517b 3ef3879ea3f8517b
7b8c6b6b7e000000 7b97d4d80c000000 3f6611f375bff648 3f6611f375bff648
7b6e14bf08000000 7b574546d8000000 406c813575888da2 406c813575888da2
79e24c8280000000 7b4091b8e0000000 34b35c126ded3ca8 34b35c126ded3ca8
7b4983a782000000 7a54819d30000000 479610dab44f853e 479610dab44f853e
7b703b68f8000000 7b3798668a000000 413be3ffbe490b20 413be3ffbe490b20
7b8632d713000000 7b86427ca5000000 3fff1152f61b211a 3fff1152f61b211a
7bbc150fb6000000 7b55e955a8000000 4308b5bc6b823177 4308b5bc6b823177
7a834ac12c000000 7ad0cb10c8000000 3d07cf66c3039351 3d07cf66c3039351
7b0d81bc34000000 7a9c161d78000000 4340b3ab69fd2248 4340b3ab69fd2248
7ae9d5035c000000 7b82d5edd0000000 3b261cf2b9a7f86c 3b261cf2b9a7f86c
7b91fa3233000000 7b368fe246000000 426596bdd48be9ea 426596bdd48be9ea
7adc22c808000000 7b3176209a000000 3cf63e2dd8e5cdee 3cf63e2dd8e5cdee
78935e2040000000 7b62fbe5b4000000 2931a62329e8ef76 2931a62329e8ef76
7b3721fc3a000000 7a223d55a0000000 4883ded4130f727b 4883ded4130f727b
7b198bc7fa000000 7a14cd80f8000000 4820a429bc5387d0 4820a429bc5387d0
7b5a057602000000 7b1e26b8fc000000 4183a5c7e56635c0 4183a5c7e56635c0
*7902f45f20000000 7b1127723c000000 2f37a834c9491a3b 2f37a834c9491a3a
7b46b60e66000000 7b21bb0dec000000 40ea24735ab0f61f 40ea24735ab0f61f
7b365ad27c000000 7b20bb8468000000 4089c0824f576edb 4089c0824f576edb
7b9ff0e375000000 7b3e8a186e000000 42b71d50930b90a6 42b71d50930b90a6
7b98f17b53000000 7b834beaa8000000 40a8d36f5891a0d8 40a8d36f5891a0d8
7bb022932e000000 7b683dc922000000 42113b48cd0a0d2f 42113b48cd0a0d2f
7b275359b8000000 7b2026ed46000000 402dddf3b7af1084 402dddf3b7af1084
7b26c7e190000000 7b5936505e000000 3e248115f3c308fe 3e248115f3c308fe
7b26bec296000000 78e1a0fd80000000 51e9856efdb9798d 51e9856efdb9798d
7b41741980000000 7a9c24389c000000 44f4b2b1c2f4325d 44f4b2b1c2f4325d
7b2733f532000000 7bb41dfd89000000 3b6d29294e8a5081 3b6d29294e8a5081
7b93a43657000000 7a9be56f90000000 47938eb3bcd9c9d1 47938eb3bcd9c9d1
7ade9205fc000000 7b68bc862e000000 3ba68ae4c5ec13c7 3ba68ae4c5ec13c7
7b1c04d418000000 7b8b3e7c19000000 3c7b5c92e73337bc 3c7b5c92e73337bc
7aa1f10280000000 7b434d40c6000000 3aa22c2383170b97 3aa22c2383170b97
7ac8df5ff4000000 7b40418440000000 3c2de4eaa3e2e5a2 3c2de4eaa3e2e5a2
7b2c09c6f4000000 75d2104800000000 60f3fa9510983158 60f3fa9510983158
7b56be7c72000000 7b7d024836000000 3eca432e42dce25d 3eca432e42dce25d
7b43c1c4d8000000 7a6cb8b1b0000000 469d982d69008dde 469d982d69008dde
7b3d43cc76000000 7bb7e5c5e7000000 3c1de302e24977ab 3c1de302e24977ab
*7b9dd15840000000 7b140248e8000000 4443dcc5bfc32170 4443dcc5bfc32171
7bb666ea02000000 7b7f647558000000 41b6b004ddb8615a 41b6b004ddb8615a
7b4f307554000000 7b9508d03d000000 3d8f93745ba77261 3d8f93745ba77261
7ade60024c000000 792d325d40000000 4d22c268096bac73 4d22c268096bac73
7b3e13e962000000 7b5bfdd52a000000 3ee984f93da386ae 3ee984f93da386ae
*7b851bcd97000000 7b0d445eb6000000 4389b949a9bb4263 4389b949a9bb4264
78c1eeb480000000 7af2cf38a8000000 2e63bdf6e2c29da2 2e63bdf6e2c29da2
7b1817848c000000 7b44235f06000000 3e3415d6180a203b 3e3415d6180a203b
7baab2b8c0000000 7b224f1fba000000 4434ed16b574edbd 4434ed16b574edbd
7ba8b3dedf000000 7ab6e9ce48000000 4760e3a2cdebad06 4760e3a2cdebad06
79ddb5e070000000 7b697f424a000000 33989e94a3fe553d 33989e94a3fe553d
7b8fcc2316000000 7ae2385b1c000000 4515d101e02dcd20 4515d101e02dcd20
7b0bce6204000000 7bb88001f5000000 3a0fe2e287da81f9 3a0fe2e287da81f9
7b4c9114b4000000 7b27851e12000000 40e274a796bf5f3d 40e274a796bf5f3d
7bad3fa31a000000 7b6c295ae0000000 41de6abaffe80271 41de6abaffe80271
7b8c50a5d1000000 7b664da838000000 40dfc4a540e3151c 40dfc4a540e3151c
7bae94af65000000 7b65326056000000 4217f9db76ed9856 4217f9db76ed9856
7b0dd2c64a000000 7b37a8a4fe000000 3e2d7d02cd8f11b0 3e2d7d02cd8f11b0
7b515bbb36000000 7b267411ec000000 4107f21406fa5bf6 4107f21406fa5bf6
7b1df64d36000000 7b97428d00000000 3c2d5f4b149c89d1 3c2d5f4b149c89d1
7b0ba67242000000 7a2f244e00000000 4660fb9854bac3e8 4660fb9854bac3e8
7b662dbefe000000 7aba045af0000000 44f31abd2bad1155 44f31abd2bad1155
7b523d256e000000 7b04240c34000000 425d34b3ad3f0ca3 425d34b3ad3f0ca3
7b0b874778000000 7abbc72f60000000 41f1c4093c76d031 41f1c4093c76d031
*7b2fed1fb4000000 7ae36a0cec000000 423051f468383479 423051f46838347a
7b3ae5c52a000000 7b022a4522000000 41be4f5fa8a37633 41be4f5fa8a37633
7b75d912aa000000 7a8cda1944000000 46fb535a1c19d686 46fb535a1c19d686
7b600ddcd4000000 7b25c63260000000 4167ffebe1dcbb48 4167ffebe1dcbb48
7b3822131a000000 7b1af3a1fe000000 40c0d87fd6b38631 40c0d87fd6b38631
7a1fc1de08000000 7b2dfb25c4000000 3758910b44564cc6 3758910b44564cc6
7b6160aea0000000 78ffca8ac0000000 530c7e459d3ef04d 530c7e459d3ef04d
*7aa782b048000000 7b1982c1ba000000 3c5d62c46ca8f391 3c5d62c46ca8f392
7b22f1f654000000 7bbdd8e7d4000000 3addca49512f2ba7 3addca49512f2ba7
7ba3bef3c0000000 7b0452a4f6000000 44f32c140edd277f 44f32c140edd277f
7b03b023fe000000 7b1b3f3fa4000000 3ec9365f491d469f 3ec9365f491d469f
79eeab3580000000 7b93510d2a000000 327afd8a6547f9b1 327afd8a6547f9b1
79b7887a60000000 7acaa69c60000000 373ecba22f23c9d2 373ecba22f23c9d2
7b2af4bf4a000000 7b1aa1334c000000 406c1e198eaef0fb 406c1e198eaef0fb
7b804946eb000000 7b48ca25fa000000 411c7c22ec655e64 411c7c22ec655e64
7b41434da0000000 79bc1ce910000000 4c1c08b8e2297533 4c1c08b8e2297533
*7b970eba16000000 7bb61c0675000000 3ea2ca53fcb98ea0 3ea2ca53fcb98e9f
7b3224d06e000000 7b97580101000000 3cb5549bd134cddb 3cb5549bd134cddb
*7a5408cb90000000 7ae6d03c1c000000 3b595fbe488c3a28 3b595fbe488c3a27
7a8c673e48000000 7ac63f4e20000000 3daa70d66c052585 3daa70d66c052585
7b5fa02af8000000 7b78586f94000000 3f342572afaeb101 3f342572afaeb101
7b11cfa912000000 7b8a512eef000000 3c377b048c5ac271 3c377b048c5ac271
7ad7811b44000000 7b58034838000000 3bfb2dd0d3d17f28 3bfb2dd0d3d17f28
7aef8409dc000000 7ba5b05c4e000000 39c844dce002893d 39c844dce002893d
7b505bb7cc000000 7b84c8d701000000 3e46ce205038fc15 3e46ce205038fc15
7bb0018b3d000000 7a707115b8000000 49db28a84a845a24 49db28a84a845a24
7b6194f63a000000 7b57c51b68000000 402e90bf97abc932 402e90bf97abc932
7b077cfe0a000000 7b31f0ae06000000 3e17667ca63b58e2 3e17667ca63b58e2
7b6156c96a000000 7b67801484000000 3fc97e40c0ae8bf4 3fc97e40c0ae8bf4
7b6e0cf374000000 7b42ebbfa4000000 40e293ffb462bb58 40e293ffb462bb58
7b3f452fee000000 7bbac4f8c0000000 3c18ad3f72598862 3c18ad3f72598862
7ae9b8db54000000 7b209bf958000000 3dd225a1cd1bffe2 3dd225a1cd1bffe2
7aba140c08000000 7bb174b090000000 3831c1721ed16089 3831c1721ed16089
7bb1c3dc7f000000 79e279af90000000 4e47844885bcf1d1 4e47844885bcf1d1
7b587352a0000000 7b586426a8000000 400047cb99a1f487 400047cb99a1f487
7b3ceb2f4c000000 7a55f56290000000 471051e54a8d9768 471051e54a8d9768
7a6d361f20000000 7b90397425000000 3694363973f08f49 3694363973f08f49
7ba8e6474c000000 799e06d340000000 5046748d79872421 5046748d79872421
7b78d70ef2000000 7b875bdef2000000 3f5a7e6917a8b0af 3f5a7e6917a8b0af
7ba49f1987000000 7b9a124b5e000000 40461e4599c04ded 40461e4599c04ded
7b97955317000000 7b1b0ac1a6000000 43d2500b808b66b5 43d2500b808b66b5
7a8fcae248000000 7a2006d480000000 43303cc20e6dba52 43303cc20e6dba52
7b29c27f8a000000 7b1123a432000000 40adb48325f65ab8 40adb48325f65ab8
7ab84c97b8000000 7b58ed25b8000000 3acbf79915347ba3 3acbf79915347ba3
7a30b99760000000 7b240bbd02000000 384f251fe7f6ed17 384f251fe7f6ed17
76ddb5b000000000 7b55f0e562000000 20773f31ba885a78 20773f31ba885a78
7a54bd84d0000000 7bae8a935b000000 34e01b2979353f32 34e01b2979353f32
7b2ede0b1a000000 7bb0aee387000000 3beaf3cdf2cfacff 3beaf3cdf2cfacff
*7b809071dc000000 7b2fcce5f4000000 41d9b80a12c4edfa 41d9b80a12c4edfb
7a5d3ab1c0000000 7a594113b0000000 4012bc68f5f478ef 4012bc68f5f478ef
7b86710655000000 7b662cb78c000000 40ac349754d63cf7 40ac349754d63cf7
*7b17ae0bfa000000 7b87017831000000 3c7e789291730280 3c7e78929173027f
7b15f99d80000000 7b4efcb86c000000 3dcbe72e83c3853a 3dcbe72e83c3853a
*7a88a66a9c000000 7b22dbaa2a000000 3ab66cbd036c95d8 3ab66cbd036c95d9
*7b35296ff8000000 7b5c01414a000000 3e966a2901a2c96b 3e966a2901a2c96c
7b30de9a8c000000 7ba59186bc000000 3c45e4f7e4b661a5 3c45e4f7e4b661a5
7b2afdf9b6000000 7b7531cfda000000 3d943852dde997cf 3d943852dde997cf
7b6dfeb7a2000000 7bb013b4d7000000 3d6817be99cc8c84 3d6817be99cc8c84
7b51331124000000 7b1edd522e000000 41447235a01ee6b9 41447235a01ee6b9
7b2b4a7726000000 7af86215b4000000 418459624e6d7c6c 418459624e6d7c6c
7b140f2208000000 7b19492ec8000000 3fba2ac6618cbf55 3fba2ac6618cbf55
7b5c587488000000 7bb2a11997000000 3cef23db0deb70b3 3cef23db0deb70b3
7b9c13407b000000 7b07a2ebae000000 449a4dfbd2d34751 449a4dfbd2d34751
7b61733e08000000 7b4c35a2fe000000 406a82a4c32f5095 406a82a4c32f5095
7a03b069d8000000 7b3dcbb292000000 358cff7f3fb48b78 358cff7f3fb48b78
7a45df58b0000000 7ab22536d8000000 3c7164bd27e3b832 3c7164bd27e3b832
7a8add3c80000000 7b89758a1b000000 380a778e8e909b24 380a778e8e909b24
7b92a41f36000000 7bb32d5c5c000000 3e8c1c94ad654827 3e8c1c94ad654827
7b50b6c6c8000000 7aad802930000000 44cfd43973e3f99b 44cfd43973e3f99b
7b3f6d5424000000 79b12b2840000000 4c52694833ce629f 4c52694833ce629f
7ad356519c000000 7b64b59290000000 3b64709f38ec75a8 3b64709f38ec75a8
7af9003b80000000 7a6987be58000000 4443d63b903a5564 4443d63b903a5564
7b9dbad395000000 7b9df6b8f3000000 3ffcf772e887780a 3ffcf772e887780a
7ba843aa50000000 7b2520cff8000000 441372afb1977afa 441372afb1977afa
7a028c11d8000000 7b4d077260000000 35180347406bbe9f 35180347406bbe9f
7b5f82bf90000000 7ab8315740000000 44da9590de533ad9 44da9590de533ad9
790f4e4460000000 7b98050a18000000 2b8a9b2b88945a6b 2b8a9b2b88945a6b
7b809cbdcd000000 7b94cbf45f000000 3eea2fc9ebfbfd1b 3eea2fc9ebfbfd1b
7b7d118690000000 7b60bc3b2a000000 4081196ef2d5a115 4081196ef2d5a115
7b05b0945e000000 7b8303356c000000 3c14ece3ef4e4cf4 3c14ece3ef4e4cf4
7b886327ad000000 7b06ea0ff8000000 440b2e22506e61b8 440b2e22506e61b8
7b97cf29cb000000 795d7d5ea0000000 517bb368218d9ce7 517bb368218d9ce7
*7acf4c9644000000 7b354ee7f4000000 3c92caee337afd3a 3c92caee337afd39
799ef20610000000 7af0d934f8000000 35478e569c1befb0 35478e569c1befb0
7b9e1c7bf7000000 7a86f928b0000000 48af8a6a2ea22dcb 48af8a6a2ea22dcb
7b06447068000000 7b23df4310000000 3e8e0347f45b420d 3e8e0347f45b420d
7b78cb7c4e000000 7b1a22b12a000000 4274deac84e99d8b 4274deac84e99d8b
7b8e25eec7000000 7b9a823a1e000000 3f5c298a9b43f624 3f5c298a9b43f624
7b5d9f4584000000 7b22904df6000000 4174036d09b995f9 4174036d09b995f9
7b58e3b74c000000 7b566ac1d2000000 400bced8e78bde04 400bced8e78bde04
7a72cbfc80000000 7b752f94da000000 37ec0b708680030c 37ec0b708680030c
7b85b79b07000000 79d2922520000000 4d148695c62c8187 4d148695c62c8187
7b17bfe2d0000000 7b6452ed5a000000 3d51265c5027b8d5 3d51265c5027b8d5
7b70bd0676000000 7b5472e1f2000000 40885b0641960936 40885b0641960936
7b59828236000000 7b7c2c3c84000000 3ee67cc5c0efe731 3ee67cc5c0efe731
7b6ec52696000000 7ae284ca0c000000 4437623aabc5b2b7 4437623aabc5b2b7
7b607f29e0000000 7b5f822d0e000000 4004870ecf336b84 4004870ecf336b84
7a8b6a8df0000000 7b3a01ac16000000 39ff06115d81fd7d 39ff06115d81fd7d
7b5bae6992000000 7b9a3d36c8000000 3db27930b783d974 3db27930b783d974
7a2d104470000000 7b3c2d9372000000 375b811c932ff6ea 375b811c932ff6ea
7b89fe4d4f000000 7bb87cac0b000000 3dfbdf283329362c 3dfbdf283329362c
7aec5e7f10000000 7b6fab0430000000 3be3cf727eff7285 3be3cf727eff7285
*7b2573c5a6000000 7b217350a6000000 4019619f3ece4382 4019619f3ece4381
7b2bd897a4000000 7b39706044000000 3f69e10b101d17ed 3f69e10b101d17ed
7b8936545e000000 7a081f5cb0000000 4c0832921f0d19f8 4c0832921f0d19f8
*7b291b6474000000 7b37e06e62000000 3f5b7f2bac3a8bf5 3f5b7f2bac3a8bf6
7b437b27d2000000 7aa9e7f830000000 449a22f4b96d245a 449a22f4b96d245a
7bb8a86753000000 7b55e7d08e000000 42e7f92108895650 42e7f92108895650
*7bbb7de9a3000000 79d36198d0000000 4f188b3ddf2b27e1 4f188b3ddf2b27e2
7b0dc83ef0000000 7a69dbec60000000 44d9a522e824972f 44d9a522e824972f
7bb7b0179a000000 7b7f190d96000000 41c2b31e2d0d2ae9 41c2b31e2d0d2ae9
*7b882f65d4000000 7b665c027a000000 40babf7852a94cfd 40babf7852a94cfe
7b8cc2a059000000 7b1e270a98000000 431ec755d8e33d78 431ec755d8e33d78
7a034bf648000000 7b08e56fe6000000 37ac3ae006482fde 37ac3ae006482fde
7b1d401830000000 7a44c724e8000000 46649c3ed39b8710 46649c3ed39b8710
7bb7a0b930000000 7b36c558e2000000 4404cd1620aeaf8d 4404cd1620aeaf8d
7b4f58d3d4000000 7b10146ff2000000 41c1a6835f1223dd 41c1a6835f1223dd
7b9fd56464000000 7bb64c7602000000 3f039eb47248a945 3f039eb47248a945
7b4146392e000000 7b2eec3b28000000 406b6e1a2465d648 406b6e1a2465d648
7b91af2273000000 7b109f0d02000000 440786817f6e9fa9 440786817f6e9fa9
*7a7c02ac68000000 7bb18486d7000000 35adb4fc8b146334 35adb4fc8b146335
7b7d37f906000000 751b912000000000 6392687f30c11e53 6392687f30c11e53
7b88faa46b000000 7b904b1ef6000000 3f982f597e356658 3f982f597e356658
7b10e5f14a000000 7aa1ebe7e0000000 4328b0078b57db73 4328b0078b57db73
7b039f4b9a000000 7b0e1dea50000000 3f68c3fd5ae2ee0d 3f68c3fd5ae2ee0d
7ba89e6c1d000000 7b15949470000000 4482556f3ae6af1d 4482556f3ae6af1d
7b2eb4283c000000 7b6222c984000000 3e2e34655358c24b 3e2e34655358c24b
7b668a8860000000 7a494dcf58000000 4894b98d30df550b 4894b98d30df550b
7bb82059eb000000 7a5f1d8268000000 4a9a1dd58c1c338c 4a9a1dd58c1c338c
7b47e80846000000 79f7a6e090000000 4a75291102367fa5 4a75291102367fa5
7b8cf51510000000 7b2e7290a8000000 4276d3fe8c62d6c3 4276d3fe8c62d6c3
7ba8a427f5000000 7b0a2addf6000000 44e1d9ab81b6b63d 44e1d9ab81b6b63d
7b6639f142000000 79c982c180000000 4c91ebe52ee34aaf 4c91ebe52ee34aaf
7b291fba08000000 7420db1000000000 64578c5335601f7f 64578c5335601f7f
7b47a2e8d8000000 7b7a6809f2000000 3e60c451795e761b 3e60c451795e761b
7b0a4ef958000000 7b2ddcda1c000000 3e5d31402d734757 3e5d31402d734757
7b89cc3112000000 7b99b759b1000000 3f2be9a12d8257f3 3f2be9a12d8257f3
7b2e00f57e000000 7b5b3b4afc000000 3e597e76d95fec34 3e597e76d95fec34
7a375af550000000 7b9c27f76c000000 34b25c18dc131769 34b25c18dc131769
7b09182518000000 7a82de3ef0000000 4430b7bbb3505490 4430b7bbb3505490
7bb5df511d000000 7b9b8c8d4c000000 40ad4a2ffa2593b4 40ad4a2ffa2593b4
*7b8e55353b000000 7b19955f8e000000 4369f9aad164cf42 4369f9aad164cf43
7bb7b484b8000000 7b25c28f8e000000 446edba9294b0cc4 446edba9294b0cc4
7b5b047f08000000 7b5b27abaa000000 3ffeb74bd5c21d8e 3ffeb74bd5c21d8e
7b5cdbaa26000000 7b77aee97e000000 3f22314dc7715e75 3f22314dc7715e75
*7ae97a1670000000 7a9889672c000000 421f5ccc7e0aa5cc 421f5ccc7e0aa5cd
7b1d71790c000000 7a9f831480000000 43e5704a212061db 43e5704a212061db
7a1db17d00000000 7bbd26919a000000 32ab667ee79e9640 32ab667ee79e9640
7a8ee0e77c000000 7b1c296a7a000000 3b51cba24e4a31cf 3b51cba24e4a31cf
7b4691d6ca000000 7b64e1eccc000000 3ef0c4222e5b7460 3ef0c4222e5b7460
7b6beaf4ba000000 7b6dfe360c000000 3fee246490139590 3fee246490139590
7baf978800000000 7b99adb43d000000 409203bc9a255cbe 409203bc9a255cbe
7b0a27a086000000 7a17039b28000000 47519b56259de308 47519b56259de308
7b8c1eaaa3000000 7aec252a80000000 44bf350dc1d92a3b 44bf350dc1d92a3b
799df8e6b0000000 7bbbb632a3000000 2ebb884981aaaff2 2ebb884981aaaff2
7b296dfdba000000 7b1de6bd5c000000 404ac30ced4669da 404ac30ced4669da
7b1d2d4c10000000 7b41ab5300000000 3e7e1a945fdd53ab 3e7e1a945fdd53ab
7b89fca94f000000 7b30ec68e8000000 423d491242d28ed5 423d491242d28ed5
7a645ee118000000 7b3d675058000000 38d2ac58c5c3a68e 38d2ac58c5c3a68e
7a9da64c88000000 7b56450458000000 39e2d2ba7a96425f 39e2d2ba7a96425f
7bbf8951ad000000 7ac598f1c4000000 47c12e2b231cd772 47c12e2b231cd772
7b07316d40000000 7b382a5ba0000000 3ddf67dfd318e160 3ddf67dfd318e160
7b9019e49e000000 7b56049384000000 4162f23c7b246b1b 4162f23c7b246b1b
7b0e6f5ff8000000 7b1f466c96000000 3f27776c48448fcf 3f27776c48448fcf
7b9c688634000000 79ad0669c0000000 4f3b511d8d6438f6 4f3b511d8d6438f6
7aaee1906c000000 7bac826ad2000000 380e13f7a75381b9 380e13f7a75381b9
7b6ba560be000000 7b65e02830000000 4019b4673788e444 4019b4673788e444
7b784b423a000000 7b923b3693000000 3ecab45fdf7db610 3ecab45fdf7db610
7b7b80f568000000 7a9f7814e0000000 464efba5cbb1b57a 464efba5cbb1b57a

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.