OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk/TUT/soc/de2_samos_soc/1.0
    from Rev 145 to Rev 147
    Reverse comparison

Rev 145 → Rev 147

/de2_samos_soc.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:16:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:00:09 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
91,10 → 91,10
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sdram_0</spirit:instanceName>
<spirit:instanceName>nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="60"/>
141,7 → 141,7
<kactus2:position x="80" y="250"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster_0">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster_1">
<kactus2:position x="80" y="60"/>
153,7 → 153,7
<kactus2:position x="80" y="420"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave_0">
<kactus2:position x="-80" y="60"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave_1">
<kactus2:position x="80" y="80"/>
173,6 → 173,35
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_master">
<kactus2:position x="80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_slave">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:position x="-80" y="100"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>udp2hibi_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
236,38 → 265,30
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_master">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_slave">
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:position x="-80" y="100"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_clk_to_pll_0_ip_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>dct_to_hibi_0_clk_to_pll_0_ip_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
366,34 → 387,20
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sdram_0_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
<spirit:name>nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sdram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
<spirit:name>nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
408,19 → 415,12
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_ip_clk_to_nios_ii_sram_0_clk</spirit:name>
<spirit:name>pll_0_ip_clk_to_nios_ii_sdram_1_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_ip_clk_to_nios_ii_sdram_0_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="clk"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="rst_n">
434,7 → 434,29
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sram_if">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="sram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="370"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="160"/>
<kactus2:position x="810" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="130"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="dct_to_hibi_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
490,7 → 512,7
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
501,17 → 523,6
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="130"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_segment_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
534,7 → 545,7
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sdram_if">
<spirit:interface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="sdram_if"/>
<spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="sdram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="840" y="100"/>
<kactus2:direction x="-1" y="0"/>
544,17 → 555,6
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sram_if">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="sram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="370"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="160"/>
<kactus2:position x="810" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
</spirit:hierConnections>
<spirit:vendorExtensions>
<kactus2:columnLayout>
564,6 → 564,18
<kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="nios_ii_sram_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="100"/>
<kactus2:position x="540" y="290"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
<kactus2:position x="210" y="140"/>
<kactus2:position x="310" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="440"/>
<kactus2:position x="540" y="290"/>
632,22 → 644,14
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="260"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_0_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:position x="570" y="120"/>
<kactus2:position x="470" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
<kactus2:position x="570" y="140"/>
<kactus2:position x="470" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="120"/>
<kactus2:position x="310" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_0" kactus2:offPage="false">
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="260"/>
660,12 → 664,8
<kactus2:position x="490" y="270"/>
<kactus2:position x="470" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sram_0_clk" kactus2:offPage="true">
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_1_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="20" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_0_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="760" y="170"/>
</kactus2:route>
</kactus2:routes>
/de2_samos_soc.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:16:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:00:09 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
38,6 → 38,10
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_subsystem_0</spirit:instanceName>
<spirit:viewName>structural</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>pll_0</spirit:instanceName>
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
/de2_samos_soc.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:16:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:00:09 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
12,6 → 12,33
</spirit:description>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SW_17</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>DM9000A</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a.absDef" spirit:version="1.0"/>
178,33 → 205,6
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SW_17</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sdram_clk</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
520,6 → 520,9
<spirit:name>structural</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="de2_samos_soc.designcfg" spirit:version="1.0"/>
<spirit:vendorExtensions>
<kactus2:topLevelViewRef>structural_vhd</kactus2:topLevelViewRef>
</spirit:vendorExtensions>
</spirit:view>
<spirit:view>
<spirit:name>acc_only</spirit:name>
526,6 → 529,15
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="mjpeg_de2_soc.acc_only.designcfg" spirit:version="1.0"/>
</spirit:view>
<spirit:view>
<spirit:name>structural_vhd</spirit:name>
<spirit:envIdentifier>VHDL:Kactus2:</spirit:envIdentifier>
<spirit:language spirit:strict="false">vhdl</spirit:language>
<spirit:modelName>de2_samos_soc(structural)</spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>structural_vhdlSource</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
</spirit:views>
<spirit:ports>
<spirit:port>
836,6 → 848,39
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
</spirit:fileSet>
<spirit:fileSet>
<spirit:name>structural_vhdlSource</spirit:name>
<spirit:group>sourceFiles</spirit:group>
<spirit:file>
<spirit:name>de2_samos_soc.vhd</spirit:name>
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">true</spirit:isIncludeFile>
<spirit:logicalName spirit:default="false">work</spirit:logicalName>
<spirit:buildCommand>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:defaultFileBuilder>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource-87</spirit:fileType>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:defaultFileBuilder>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource-93</spirit:fileType>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:defaultFileBuilder>
</spirit:fileSet>
</spirit:fileSets>
<spirit:vendorExtensions>
<kactus2:extensions>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.