OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk/TUT/soc/de2_samos_soc/1.0
    from Rev 148 to Rev 157
    Reverse comparison

Rev 148 → Rev 157

/de2_samos_soc.design.1.0.xml
16,7 → 16,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="400"/>
<kactus2:position x="650" y="420"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
45,7 → 45,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dctqidct" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="550"/>
<kactus2:position x="650" y="580"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
68,7 → 68,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.misc" spirit:name="pll" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="220"/>
<kactus2:position x="650" y="230"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk_25MHz">
<kactus2:position x="-80" y="130"/>
124,7 → 124,16
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_segment" spirit:version="3.0"/>
<spirit:configurableElementValues/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_0_addr_end">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_0_addr_start">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_1_addr_end">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_1_addr_start">1</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_2_addr_end">5</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_2_addr_start">2</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_3_addr_end">6</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ip_mSlave_3_addr_start">6</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="390" y="60"/>
<kactus2:portPositions>
208,7 → 217,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp2hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="210"/>
<kactus2:position x="130" y="220"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
243,7 → 252,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp_ip_dm9000a" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="400"/>
<kactus2:position x="130" y="420"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="DM9000A">
<kactus2:position x="80" y="140"/>
429,7 → 438,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="310"/>
<kactus2:position x="20" y="320"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
462,7 → 471,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="760" y="460"/>
<kactus2:position x="760" y="480"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
473,7 → 482,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="540" y="620"/>
<kactus2:position x="540" y="650"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
484,7 → 493,7
<kactus2:position x="810" y="400"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="240" y="540"/>
<kactus2:position x="240" y="560"/>
<kactus2:position x="810" y="400"/>
</kactus2:route>
</spirit:vendorExtensions>
495,7 → 504,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="240" y="480"/>
<kactus2:position x="240" y="500"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
506,7 → 515,9
<kactus2:position x="840" y="290"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="730" y="290"/>
<kactus2:position x="730" y="300"/>
<kactus2:position x="820" y="300"/>
<kactus2:position x="820" y="290"/>
<kactus2:position x="840" y="290"/>
</kactus2:route>
</spirit:vendorExtensions>
539,7 → 550,9
<kactus2:position x="840" y="260"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="730" y="260"/>
<kactus2:position x="730" y="270"/>
<kactus2:position x="820" y="270"/>
<kactus2:position x="820" y="260"/>
<kactus2:position x="840" y="260"/>
</kactus2:route>
</spirit:vendorExtensions>
566,7 → 579,7
<kactus2:routes>
<kactus2:route kactus2:connRef="nios_ii_sram_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="100"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
577,72 → 590,80
<kactus2:position x="310" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="440"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="460"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="250"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="20" y="260"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_clk_udp_to_pll_0_clk_25MHz" kactus2:offPage="false">
<kactus2:position x="210" y="350"/>
<kactus2:position x="570" y="350"/>
<kactus2:position x="210" y="360"/>
<kactus2:position x="570" y="360"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_dct_if_to_dctqidct_0_dct_if" kactus2:offPage="false">
<kactus2:position x="730" y="490"/>
<kactus2:position x="750" y="490"/>
<kactus2:position x="750" y="590"/>
<kactus2:position x="730" y="590"/>
<kactus2:position x="730" y="510"/>
<kactus2:position x="750" y="510"/>
<kactus2:position x="750" y="620"/>
<kactus2:position x="730" y="620"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_3" kactus2:offPage="false">
<kactus2:position x="570" y="480"/>
<kactus2:position x="570" y="500"/>
<kactus2:position x="490" y="500"/>
<kactus2:position x="490" y="480"/>
<kactus2:position x="470" y="480"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_2" kactus2:offPage="false">
<kactus2:position x="210" y="260"/>
<kactus2:position x="210" y="270"/>
<kactus2:position x="290" y="270"/>
<kactus2:position x="290" y="260"/>
<kactus2:position x="310" y="260"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_2" kactus2:offPage="false">
<kactus2:position x="210" y="280"/>
<kactus2:position x="210" y="290"/>
<kactus2:position x="290" y="290"/>
<kactus2:position x="290" y="280"/>
<kactus2:position x="310" y="280"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_3" kactus2:offPage="false">
<kactus2:position x="570" y="500"/>
<kactus2:position x="570" y="520"/>
<kactus2:position x="490" y="520"/>
<kactus2:position x="490" y="500"/>
<kactus2:position x="470" y="500"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dctqidct_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="590"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="620"/>
<kactus2:position x="540" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_segment_0_clocks_3_to_pll_0_hibi_clk" kactus2:offPage="false">
<kactus2:position x="470" y="310"/>
<kactus2:position x="490" y="310"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="570" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_clk_25MHz_to_udp_ip_dm9000a_0_clk" kactus2:offPage="false">
<kactus2:position x="570" y="350"/>
<kactus2:position x="250" y="350"/>
<kactus2:position x="250" y="440"/>
<kactus2:position x="210" y="440"/>
<kactus2:position x="570" y="360"/>
<kactus2:position x="250" y="360"/>
<kactus2:position x="250" y="460"/>
<kactus2:position x="210" y="460"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rx" kactus2:offPage="false">
<kactus2:position x="50" y="440"/>
<kactus2:position x="30" y="440"/>
<kactus2:position x="30" y="350"/>
<kactus2:position x="50" y="350"/>
<kactus2:position x="50" y="460"/>
<kactus2:position x="30" y="460"/>
<kactus2:position x="30" y="360"/>
<kactus2:position x="50" y="360"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_tx_to_udp2hibi_0_udp_ip_tx" kactus2:offPage="false">
<kactus2:position x="50" y="480"/>
<kactus2:position x="20" y="480"/>
<kactus2:position x="20" y="330"/>
<kactus2:position x="50" y="330"/>
<kactus2:position x="50" y="500"/>
<kactus2:position x="20" y="500"/>
<kactus2:position x="20" y="340"/>
<kactus2:position x="50" y="340"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_segment_0_clocks_2_to_pll_0_hibi_clk" kactus2:offPage="false">
<kactus2:position x="470" y="290"/>
<kactus2:position x="490" y="290"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="570" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:position x="570" y="120"/>
653,19 → 674,17
<kactus2:position x="470" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_0" kactus2:offPage="false">
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="270"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="490" y="250"/>
<kactus2:position x="470" y="250"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_1" kactus2:offPage="false">
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="260"/>
<kactus2:position x="490" y="270"/>
<kactus2:position x="570" y="270"/>
<kactus2:position x="470" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_1_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="540" y="300"/>
<kactus2:position x="760" y="170"/>
</kactus2:route>
</kactus2:routes>
/de2_samos_soc.designcfg.1.0.xml
34,6 → 34,10
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_sdram_1</spirit:instanceName>
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
/de2_samos_soc.1.0.xml
39,6 → 39,129
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sram_if</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_ADDR_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_ADDR</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_CE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_CE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_DQ_TO_AND_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_DQ</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_LB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_LB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_OE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_OE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_UB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_UB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_WE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_WE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>DM9000A</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a.absDef" spirit:version="1.0"/>
386,129 → 509,6
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sram_if</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sram_io.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_ADDR_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_ADDR</spirit:name>
<spirit:vector>
<spirit:left>17</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_CE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_CE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_DQ_TO_AND_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_DQ</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_LB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_LB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_OE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_OE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_UB_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_UB_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>SRAM_WE_N_FROM_SRAM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SRAM_WE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:model>
<spirit:views>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.