OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk/TUT
    from Rev 146 to Rev 147
    Reverse comparison

Rev 146 → Rev 147

/product/samos_2012/1.0/samos_2012.vopd.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:53:43 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:40:53 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/product/samos_2012/1.0/samos_2012.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:53:52 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:40:53 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
28,18 → 28,22
<kactus2:systemView>
<spirit:name>pc_only_mjpeg</spirit:name>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="product" spirit:name="samos_2012.pc_only_mjpeg.designcfg" spirit:version="1.0"/>
<kactus2:hwViewRef></kactus2:hwViewRef>
</kactus2:systemView>
<kactus2:systemView>
<spirit:name>vopd</spirit:name>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="product" spirit:name="samos_2012.vopd.designcfg" spirit:version="1.0"/>
<kactus2:hwViewRef></kactus2:hwViewRef>
</kactus2:systemView>
<kactus2:systemView>
<spirit:name>2xnios_mjpeg</spirit:name>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="product" spirit:name="samos_2012.2xnios_mjpeg.designcfg" spirit:version="1.0"/>
<kactus2:hwViewRef></kactus2:hwViewRef>
</kactus2:systemView>
<kactus2:systemView>
<spirit:name>pc_dct_acc_mjpeg</spirit:name>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="product" spirit:name="samos_2012.pc_dct_acc_mjpeg.designcfg" spirit:version="1.0"/>
<kactus2:hwViewRef></kactus2:hwViewRef>
</kactus2:systemView>
</kactus2:systemViews>
</kactus2:extensions>
/product/samos_2012/1.0/samos_2012.pc_nios.design.1.0.xml
25,7 → 25,7
<spirit:instanceName>nios_ii_sdram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
37,7 → 37,7
<spirit:instanceName>nios_ii_sram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="590"/>
/product/samos_2012/1.0/samos_2012.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:49:56 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:10 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/product/samos_2012/1.0/samos_2012.pc_dct_acc.design.1.0.xml
13,7 → 13,7
<spirit:instanceName>nios_ii_sram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="190"/>
25,7 → 25,7
<spirit:instanceName>nios_ii_sdram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
/product/samos_2012/1.0/samos_2012.pc_nios_sw.design.1.0.xml
13,7 → 13,7
<spirit:instanceName>nios_ii_sdram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
25,7 → 25,7
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="860"/>
37,7 → 37,7
<spirit:instanceName>nios_ii_sram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="570"/>
/product/samos_2012/1.0/samos_2012.2xnios_mjpeg.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:53:52 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:20:34 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
10,14 → 10,14
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0</spirit:instanceName>
<spirit:displayName>nios_II_sdram</spirit:displayName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
28,44 → 28,44
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="510"/>
<kactus2:position x="480" y="220"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze</spirit:instanceName>
<spirit:displayName>ublaze</spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="640"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:displayName>dct_acc</spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName>nios_II_sram</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="350"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:position x="160" y="220"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName>nios_II_sram</spirit:displayName>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:displayName>dct_acc</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="160" y="510"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
73,16 → 73,16
<spirit:vendorExtensions>
<kactus2:swInstances>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="0" y="170"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="40"/>
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
91,7 → 91,7
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="h.263_encoder_dct" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="40"/>
<kactus2:propertyValues>
<kactus2:propertyValue kactus2:name="node_id" kactus2:value=""/>
114,13 → 114,13
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
133,7 → 133,7
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="170"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
147,7 → 147,7
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="h.263_encoder_main" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:position x="0" y="120"/>
<kactus2:propertyValues>
<kactus2:propertyValue kactus2:name="node_id" kactus2:value=""/>
</kactus2:propertyValues>
171,42 → 171,31
</kactus2:swInstances>
<kactus2:apiDependencies>
<kactus2:apiDependency>
<spirit:name>de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0_mcapi_to_h.263_encoder_dct_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_dct" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="270"/>
<kactus2:position x="590" y="270"/>
<kactus2:position x="590" y="150"/>
<kactus2:position x="560" y="150"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi_to_h.263_encoder_main_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_main" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="270"/>
<kactus2:position x="50" y="270"/>
<kactus2:position x="50" y="150"/>
<kactus2:position x="80" y="150"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="80" y="300"/>
<kactus2:position x="50" y="300"/>
<kactus2:position x="50" y="390"/>
<kactus2:position x="80" y="390"/>
</kactus2:route>
</kactus2:apiDependency>
</kactus2:apiDependencies>
<kactus2:comConnections>
<kactus2:comConnection>
<spirit:name>h.263_encoder_main_dct_data_in_to_h.263_encoder_dct_dct_data_out</spirit:name>
<spirit:name>h.263_encoder_main_dct_data_out_to_h.263_encoder_dct_dct_data_in</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_out"/>
<kactus2:route>
<kactus2:position x="240" y="140"/>
<kactus2:position x="400" y="140"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_in"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="430"/>
<kactus2:position x="380" y="430"/>
<kactus2:position x="380" y="350"/>
<kactus2:position x="400" y="350"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
215,20 → 204,24
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="block_count_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="block_count_in"/>
<kactus2:route>
<kactus2:position x="240" y="170"/>
<kactus2:position x="400" y="170"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="410"/>
<kactus2:position x="380" y="410"/>
<kactus2:position x="380" y="330"/>
<kactus2:position x="400" y="330"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>h.263_encoder_main_dct_data_out_to_h.263_encoder_dct_dct_data_in</spirit:name>
<spirit:name>h.263_encoder_main_dct_data_in_to_h.263_encoder_dct_dct_data_out</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_in"/>
<kactus2:route>
<kactus2:position x="240" y="190"/>
<kactus2:position x="400" y="190"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_out"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="380"/>
<kactus2:position x="380" y="380"/>
<kactus2:position x="380" y="300"/>
<kactus2:position x="400" y="300"/>
</kactus2:route>
</kactus2:comConnection>
</kactus2:comConnections>
/product/samos_2012/1.0/samos_2012.pc_dct_acc_mjpeg.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:25:31 -->
<!-- Date: 28.08.2012 -->
<!-- Time: 13:41:06 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/product/samos_2012/1.0/samos_2012.pc_only_mjpeg.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:50:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:25:05 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
10,6 → 10,10
<spirit:version>1.0</spirit:version>
<spirit:designRef spirit:vendor="TUT" spirit:library="product" spirit:name="samos_2012.pc_only_mjpeg.design" spirit:version="1.0"/>
<spirit:viewConfiguration>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:viewName>software</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_sdram</spirit:instanceName>
<spirit:viewName>software</spirit:viewName>
</spirit:viewConfiguration>
/product/samos_2012/1.0/samos_2012.vopd.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:53:43 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:40:53 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
10,26 → 10,26
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze</spirit:instanceName>
<spirit:displayName>uBlaze</spirit:displayName>
<spirit:instanceName>PC_x86</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="800" y="60"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="800" y="260"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>PC_x86</spirit:instanceName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="800" y="420"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
37,23 → 37,23
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName>nios_II_sram</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
<kactus2:position x="480" y="380"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0</spirit:instanceName>
<spirit:displayName>nios_II_sdram</spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="160" y="370"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
64,7 → 64,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="860"/>
<kactus2:position x="160" y="210"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
73,18 → 73,67
<spirit:vendorExtensions>
<kactus2:swInstances>
<kactus2:swInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:instanceName>vopd_vid_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="0" y="220"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_vid" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef=""/>
<kactus2:position x="1120" y="60"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="timer">
<kactus2:position x="80" y="50"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="vid_to_run_len_dec">
<kactus2:position x="80" y="90"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_inv_scan_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_inv_scan" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef=""/>
<kactus2:position x="1120" y="210"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="80"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_run_len_dec">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_acdc_pred">
<kactus2:position x="80" y="60"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_stripe_mem_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_stripe_mem" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef=""/>
<kactus2:position x="800" y="790"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="70"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_acdc_pred">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_iquan">
<kactus2:position x="80" y="80"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_timer_0</spirit:instanceName>
91,8 → 140,8
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_timer" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="0" y="40"/>
<kactus2:mapping kactus2:hwRef=""/>
<kactus2:position x="800" y="60"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="140"/>
108,19 → 157,6
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>openmcapi_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.api" spirit:name="openmcapi" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="410"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_pad_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
145,109 → 181,91
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:instanceName>openmcapi_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="990"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.api" spirit:name="openmcapi" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="410"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="70"/>
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_up_samp_0</spirit:instanceName>
<spirit:instanceName>vopd_acdc_pred_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_up_samp" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_acdc_pred" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef=""/>
<kactus2:position x="480" y="220"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="40"/>
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_idct">
<kactus2:position x="80" y="90"/>
<kactus2:comInterfacePosition kactus2:comRef="from_inv_scan">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_vop_rec">
<kactus2:position x="-80" y="90"/>
<kactus2:comInterfacePosition kactus2:comRef="to_iquan">
<kactus2:position x="80" y="70"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_stripe_mem">
<kactus2:position x="80" y="100"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_vop_rec_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_vop_rec" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="170"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="60"/>
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_up_samp">
<kactus2:position x="-80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="from_vop_mem">
<kactus2:position x="-80" y="80"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_pad">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_iquan_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_iquan" spirit:version="1.0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="290"/>
<kactus2:position x="0" y="990"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="70"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_acdc_pred">
<kactus2:position x="-80" y="70"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="from_stripe_mem">
<kactus2:position x="-80" y="120"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_idct">
<kactus2:position x="-80" y="150"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_idct_0</spirit:instanceName>
<spirit:instanceName>vopd_vop_mem_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_idct" spirit:version="1.0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_vop_mem" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="480"/>
<kactus2:position x="0" y="800"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="120"/>
<kactus2:position x="80" y="150"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_arm">
<kactus2:position x="-80" y="70"/>
<kactus2:comInterfacePosition kactus2:comRef="from_pad">
<kactus2:position x="80" y="110"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="from_iquan">
<kactus2:position x="-80" y="40"/>
<kactus2:comInterfacePosition kactus2:comRef="to_pad">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_uo_samp">
<kactus2:position x="80" y="90"/>
<kactus2:comInterfacePosition kactus2:comRef="to_vop_rec">
<kactus2:position x="-80" y="70"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
273,268 → 291,172
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_vop_mem_0</spirit:instanceName>
<spirit:instanceName>vopd_idct_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_vop_mem" spirit:version="1.0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_idct" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="800"/>
<kactus2:position x="0" y="480"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="80" y="150"/>
<kactus2:position x="80" y="120"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_pad">
<kactus2:position x="80" y="110"/>
<kactus2:comInterfacePosition kactus2:comRef="from_arm">
<kactus2:position x="-80" y="70"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_pad">
<kactus2:position x="80" y="40"/>
<kactus2:comInterfacePosition kactus2:comRef="from_iquan">
<kactus2:position x="-80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_vop_rec">
<kactus2:position x="-80" y="70"/>
<kactus2:comInterfacePosition kactus2:comRef="to_uo_samp">
<kactus2:position x="80" y="90"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="0" y="680"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_inv_scan_0</spirit:instanceName>
<spirit:instanceName>vopd_iquan_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_inv_scan" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="0" y="300"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_iquan" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="290"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_run_len_dec">
<kactus2:position x="80" y="40"/>
<kactus2:comInterfacePosition kactus2:comRef="from_acdc_pred">
<kactus2:position x="-80" y="70"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_acdc_pred">
<kactus2:position x="80" y="60"/>
<kactus2:comInterfacePosition kactus2:comRef="from_stripe_mem">
<kactus2:position x="-80" y="120"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_idct">
<kactus2:position x="-80" y="150"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_run_len_dec_0</spirit:instanceName>
<spirit:instanceName>vopd_vop_rec_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_run_len_dec" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_vop_rec" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="170"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="90"/>
<kactus2:position x="80" y="60"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_vid">
<kactus2:comInterfacePosition kactus2:comRef="from_up_samp">
<kactus2:position x="-80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="from_vop_mem">
<kactus2:position x="-80" y="80"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_pad">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_inv_scan">
<kactus2:position x="80" y="70"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_acdc_pred_0</spirit:instanceName>
<spirit:instanceName>vopd_up_samp_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_acdc_pred" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="0" y="420"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_up_samp" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_inv_scan">
<kactus2:position x="80" y="40"/>
<kactus2:comInterfacePosition kactus2:comRef="from_idct">
<kactus2:position x="80" y="90"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_iquan">
<kactus2:position x="80" y="70"/>
<kactus2:comInterfacePosition kactus2:comRef="to_vop_rec">
<kactus2:position x="-80" y="90"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_stripe_mem">
<kactus2:position x="80" y="100"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_stripe_mem_0</spirit:instanceName>
<spirit:instanceName>vopd_run_len_dec_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_stripe_mem" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="0" y="560"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_run_len_dec" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef=""/>
<kactus2:position x="160" y="60"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="90"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="from_acdc_pred">
<kactus2:comInterfacePosition kactus2:comRef="from_vid">
<kactus2:position x="80" y="40"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="to_iquan">
<kactus2:position x="80" y="80"/>
<kactus2:comInterfacePosition kactus2:comRef="to_inv_scan">
<kactus2:position x="80" y="70"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>vopd_vid_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.application" spirit:name="vopd_vid" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
<kactus2:comInterfacePositions>
<kactus2:comInterfacePosition kactus2:comRef="timer">
<kactus2:position x="80" y="50"/>
</kactus2:comInterfacePosition>
<kactus2:comInterfacePosition kactus2:comRef="vid_to_run_len_dec">
<kactus2:position x="80" y="90"/>
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
</kactus2:swInstances>
<kactus2:apiDependencies>
<kactus2:apiDependency>
<spirit:name>vopd_pad_0_mcapi_to_openmcapi_0_mcapi</spirit:name>
<spirit:name>vopd_iquan_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_pad_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="720" y="790"/>
<kactus2:position x="690" y="790"/>
<kactus2:position x="690" y="870"/>
<kactus2:position x="720" y="870"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_iquan_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="710"/>
<kactus2:position x="610" y="710"/>
<kactus2:position x="610" y="1440"/>
<kactus2:position x="560" y="1440"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_timer_0_mcapi_to_xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0_mcapi</spirit:name>
<spirit:name>vopd_vop_rec_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_timer_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="880" y="240"/>
<kactus2:position x="900" y="240"/>
<kactus2:position x="900" y="340"/>
<kactus2:position x="880" y="340"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0_mcapi_to_vopd_acdc_pred_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="780"/>
<kactus2:position x="40" y="780"/>
<kactus2:position x="40" y="520"/>
<kactus2:position x="80" y="520"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_inv_scan_0_mcapi_to_de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_inv_scan_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="440"/>
<kactus2:position x="40" y="440"/>
<kactus2:position x="40" y="780"/>
<kactus2:position x="80" y="780"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_run_len_dec_0_mcapi_to_de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_run_len_dec_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="320"/>
<kactus2:position x="40" y="320"/>
<kactus2:position x="40" y="780"/>
<kactus2:position x="80" y="780"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_vid_0_mcapi_to_de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_vid_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="140"/>
<kactus2:position x="40" y="140"/>
<kactus2:position x="40" y="780"/>
<kactus2:position x="80" y="780"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_stripe_mem_0_mcapi_to_de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_stripe_mem_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="690"/>
<kactus2:position x="40" y="690"/>
<kactus2:position x="40" y="780"/>
<kactus2:position x="80" y="780"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_arm_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_arm_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="820"/>
<kactus2:position x="610" y="820"/>
<kactus2:position x="610" y="1120"/>
<kactus2:position x="560" y="1120"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="610"/>
<kactus2:position x="610" y="610"/>
<kactus2:position x="610" y="1440"/>
<kactus2:position x="560" y="1440"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_vop_mem_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:name>vopd_up_samp_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_up_samp_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="1010"/>
<kactus2:position x="580" y="1010"/>
<kactus2:position x="580" y="1120"/>
<kactus2:position x="560" y="1120"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="460"/>
<kactus2:position x="610" y="460"/>
<kactus2:position x="610" y="1440"/>
<kactus2:position x="560" y="1440"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
543,258 → 465,264
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_idct_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="660"/>
<kactus2:position x="610" y="660"/>
<kactus2:position x="610" y="1120"/>
<kactus2:position x="560" y="1120"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="980"/>
<kactus2:position x="610" y="980"/>
<kactus2:position x="610" y="1440"/>
<kactus2:position x="560" y="1440"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_up_samp_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:name>vopd_vop_mem_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_up_samp_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="140"/>
<kactus2:position x="610" y="140"/>
<kactus2:position x="610" y="1120"/>
<kactus2:position x="560" y="1120"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="1330"/>
<kactus2:position x="580" y="1330"/>
<kactus2:position x="580" y="1440"/>
<kactus2:position x="560" y="1440"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_vop_rec_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:name>vopd_arm_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_arm_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="290"/>
<kactus2:position x="610" y="290"/>
<kactus2:position x="610" y="1120"/>
<kactus2:position x="560" y="1120"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="1140"/>
<kactus2:position x="610" y="1140"/>
<kactus2:position x="610" y="1440"/>
<kactus2:position x="560" y="1440"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
<spirit:name>vopd_iquan_0_mcapi_to_de2_samos_soc_nios_ii_sram_0_mcapi_nios_0_mcapi</spirit:name>
<spirit:name>vopd_pad_0_mcapi_to_openmcapi_0_mcapi</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="vopd_iquan_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="de2_samos_soc_nios_ii_sram_0_mcapi_nios_0" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="560" y="390"/>
<kactus2:position x="610" y="390"/>
<kactus2:position x="610" y="1120"/>
<kactus2:position x="560" y="1120"/>
<kactus2:activeApiInterface kactus2:componentRef="vopd_pad_0" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_0" kactus2:apiRef="mcapi"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="720" y="630"/>
<kactus2:position x="690" y="630"/>
<kactus2:position x="690" y="710"/>
<kactus2:position x="720" y="710"/>
</kactus2:route>
</kactus2:apiDependency>
</kactus2:apiDependencies>
<kactus2:comConnections>
<kactus2:comConnection>
<spirit:name>vopd_run_len_dec_0_to_inv_scan_to_vopd_inv_scan_0_from_run_len_dec</spirit:name>
<spirit:name>vopd_vop_rec_0_from_vop_mem_to_vopd_vop_mem_0_to_vop_rec</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_run_len_dec_0" kactus2:comRef="to_inv_scan"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_inv_scan_0" kactus2:comRef="from_run_len_dec"/>
<kactus2:route>
<kactus2:position x="240" y="300"/>
<kactus2:position x="260" y="300"/>
<kactus2:position x="260" y="400"/>
<kactus2:position x="240" y="400"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:comRef="from_vop_mem"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:comRef="to_vop_rec"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="400" y="630"/>
<kactus2:position x="350" y="630"/>
<kactus2:position x="350" y="1250"/>
<kactus2:position x="400" y="1250"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_inv_scan_0_to_acdc_pred_to_vopd_acdc_pred_0_from_inv_scan</spirit:name>
<spirit:name>vopd_up_samp_0_from_idct_to_vopd_idct_0_to_uo_samp</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_inv_scan_0" kactus2:comRef="to_acdc_pred"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:comRef="from_inv_scan"/>
<kactus2:route>
<kactus2:position x="240" y="420"/>
<kactus2:position x="270" y="420"/>
<kactus2:position x="270" y="520"/>
<kactus2:position x="240" y="520"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_up_samp_0" kactus2:comRef="from_idct"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_idct_0" kactus2:comRef="to_uo_samp"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="510"/>
<kactus2:position x="590" y="510"/>
<kactus2:position x="590" y="950"/>
<kactus2:position x="560" y="950"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_acdc_pred_0_to_stripe_mem_to_vopd_stripe_mem_0_from_acdc_pred</spirit:name>
<spirit:name>vopd_up_samp_0_to_vop_rec_to_vopd_vop_rec_0_from_up_samp</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:comRef="to_stripe_mem"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_stripe_mem_0" kactus2:comRef="from_acdc_pred"/>
<kactus2:route>
<kactus2:position x="240" y="580"/>
<kactus2:position x="260" y="580"/>
<kactus2:position x="260" y="660"/>
<kactus2:position x="240" y="660"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_up_samp_0" kactus2:comRef="to_vop_rec"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:comRef="from_up_samp"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="400" y="510"/>
<kactus2:position x="380" y="510"/>
<kactus2:position x="380" y="590"/>
<kactus2:position x="400" y="590"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_vid_0_vid_to_run_len_dec_to_vopd_run_len_dec_0_from_vid</spirit:name>
<spirit:name>vopd_pad_0_to_vop_mem_to_vopd_vop_mem_0_from_pad</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_vid_0" kactus2:comRef="vid_to_run_len_dec"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_run_len_dec_0" kactus2:comRef="from_vid"/>
<kactus2:route>
<kactus2:position x="240" y="190"/>
<kactus2:position x="260" y="190"/>
<kactus2:position x="260" y="270"/>
<kactus2:position x="240" y="270"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_pad_0" kactus2:comRef="to_vop_mem"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:comRef="from_pad"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="720" y="590"/>
<kactus2:position x="660" y="590"/>
<kactus2:position x="660" y="1290"/>
<kactus2:position x="560" y="1290"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_iquan_0_from_acdc_pred_to_vopd_acdc_pred_0_to_iquan</spirit:name>
<spirit:name>vopd_vop_mem_0_to_pad_to_vopd_pad_0_from_vop_mem</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_iquan_0" kactus2:comRef="from_acdc_pred"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:comRef="to_iquan"/>
<kactus2:route>
<kactus2:position x="400" y="420"/>
<kactus2:position x="310" y="420"/>
<kactus2:position x="310" y="550"/>
<kactus2:position x="240" y="550"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:comRef="to_pad"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_pad_0" kactus2:comRef="from_vop_mem"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="1220"/>
<kactus2:position x="640" y="1220"/>
<kactus2:position x="640" y="550"/>
<kactus2:position x="720" y="550"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_stripe_mem_0_to_iquan_to_vopd_iquan_0_from_stripe_mem</spirit:name>
<spirit:name>vopd_timer_0_to_arm_to_vopd_arm_0_timer</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_stripe_mem_0" kactus2:comRef="to_iquan"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_iquan_0" kactus2:comRef="from_stripe_mem"/>
<kactus2:route>
<kactus2:position x="240" y="700"/>
<kactus2:position x="340" y="700"/>
<kactus2:position x="340" y="470"/>
<kactus2:position x="400" y="470"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_timer_0" kactus2:comRef="to_arm"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_arm_0" kactus2:comRef="timer"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="720" y="210"/>
<kactus2:position x="630" y="210"/>
<kactus2:position x="630" y="1060"/>
<kactus2:position x="560" y="1060"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_idct_0_from_arm_to_vopd_arm_0_to_idct</spirit:name>
<spirit:name>vopd_iquan_0_to_idct_to_vopd_idct_0_from_iquan</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_idct_0" kactus2:comRef="from_arm"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_arm_0" kactus2:comRef="to_idct"/>
<kactus2:route>
<kactus2:position x="400" y="610"/>
<kactus2:position x="380" y="610"/>
<kactus2:position x="380" y="740"/>
<kactus2:position x="400" y="740"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_iquan_0" kactus2:comRef="to_idct"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_idct_0" kactus2:comRef="from_iquan"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="400" y="820"/>
<kactus2:position x="380" y="820"/>
<kactus2:position x="380" y="900"/>
<kactus2:position x="400" y="900"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_timer_0_to_vid_to_vopd_vid_0_timer</spirit:name>
<spirit:name>vopd_pad_0_from_vop_rec_to_vopd_vop_rec_0_to_pad</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_timer_0" kactus2:comRef="to_vid"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vid_0" kactus2:comRef="timer"/>
<kactus2:route>
<kactus2:position x="720" y="150"/>
<kactus2:position x="240" y="150"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_pad_0" kactus2:comRef="from_vop_rec"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:comRef="to_pad"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="720" y="410"/>
<kactus2:position x="670" y="410"/>
<kactus2:position x="670" y="590"/>
<kactus2:position x="560" y="590"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_pad_0_from_vop_rec_to_vopd_vop_rec_0_to_pad</spirit:name>
<spirit:name>vopd_timer_0_to_vid_to_vopd_vid_0_timer</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_pad_0" kactus2:comRef="from_vop_rec"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:comRef="to_pad"/>
<kactus2:route>
<kactus2:position x="720" y="570"/>
<kactus2:position x="670" y="570"/>
<kactus2:position x="670" y="270"/>
<kactus2:position x="560" y="270"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_timer_0" kactus2:comRef="to_vid"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vid_0" kactus2:comRef="timer"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="720" y="110"/>
<kactus2:position x="700" y="110"/>
<kactus2:position x="700" y="120"/>
<kactus2:position x="1220" y="120"/>
<kactus2:position x="1220" y="110"/>
<kactus2:position x="1200" y="110"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_iquan_0_to_idct_to_vopd_idct_0_from_iquan</spirit:name>
<spirit:name>vopd_idct_0_from_arm_to_vopd_arm_0_to_idct</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_iquan_0" kactus2:comRef="to_idct"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_idct_0" kactus2:comRef="from_iquan"/>
<kactus2:route>
<kactus2:position x="400" y="500"/>
<kactus2:position x="380" y="500"/>
<kactus2:position x="380" y="580"/>
<kactus2:position x="400" y="580"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_idct_0" kactus2:comRef="from_arm"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_arm_0" kactus2:comRef="to_idct"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="400" y="930"/>
<kactus2:position x="380" y="930"/>
<kactus2:position x="380" y="1060"/>
<kactus2:position x="400" y="1060"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_timer_0_to_arm_to_vopd_arm_0_timer</spirit:name>
<spirit:name>vopd_stripe_mem_0_to_iquan_to_vopd_iquan_0_from_stripe_mem</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_timer_0" kactus2:comRef="to_arm"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_arm_0" kactus2:comRef="timer"/>
<kactus2:route>
<kactus2:position x="720" y="210"/>
<kactus2:position x="630" y="210"/>
<kactus2:position x="630" y="740"/>
<kactus2:position x="560" y="740"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_stripe_mem_0" kactus2:comRef="to_iquan"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_iquan_0" kactus2:comRef="from_stripe_mem"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="700"/>
<kactus2:position x="340" y="700"/>
<kactus2:position x="340" y="790"/>
<kactus2:position x="400" y="790"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_vop_mem_0_to_pad_to_vopd_pad_0_from_vop_mem</spirit:name>
<spirit:name>vopd_iquan_0_from_acdc_pred_to_vopd_acdc_pred_0_to_iquan</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:comRef="to_pad"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_pad_0" kactus2:comRef="from_vop_mem"/>
<kactus2:route>
<kactus2:position x="560" y="900"/>
<kactus2:position x="640" y="900"/>
<kactus2:position x="640" y="710"/>
<kactus2:position x="720" y="710"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_iquan_0" kactus2:comRef="from_acdc_pred"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:comRef="to_iquan"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="400" y="740"/>
<kactus2:position x="380" y="740"/>
<kactus2:position x="380" y="280"/>
<kactus2:position x="580" y="280"/>
<kactus2:position x="580" y="290"/>
<kactus2:position x="560" y="290"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_pad_0_to_vop_mem_to_vopd_vop_mem_0_from_pad</spirit:name>
<spirit:name>vopd_vid_0_vid_to_run_len_dec_to_vopd_run_len_dec_0_from_vid</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_pad_0" kactus2:comRef="to_vop_mem"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:comRef="from_pad"/>
<kactus2:route>
<kactus2:position x="720" y="750"/>
<kactus2:position x="660" y="750"/>
<kactus2:position x="660" y="970"/>
<kactus2:position x="560" y="970"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vid_0" kactus2:comRef="vid_to_run_len_dec"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_run_len_dec_0" kactus2:comRef="from_vid"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="190"/>
<kactus2:position x="260" y="190"/>
<kactus2:position x="260" y="100"/>
<kactus2:position x="240" y="100"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_up_samp_0_to_vop_rec_to_vopd_vop_rec_0_from_up_samp</spirit:name>
<spirit:name>vopd_acdc_pred_0_to_stripe_mem_to_vopd_stripe_mem_0_from_acdc_pred</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_up_samp_0" kactus2:comRef="to_vop_rec"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:comRef="from_up_samp"/>
<kactus2:route>
<kactus2:position x="400" y="190"/>
<kactus2:position x="380" y="190"/>
<kactus2:position x="380" y="270"/>
<kactus2:position x="400" y="270"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:comRef="to_stripe_mem"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_stripe_mem_0" kactus2:comRef="from_acdc_pred"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="560" y="320"/>
<kactus2:position x="900" y="320"/>
<kactus2:position x="900" y="830"/>
<kactus2:position x="880" y="830"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_up_samp_0_from_idct_to_vopd_idct_0_to_uo_samp</spirit:name>
<spirit:name>vopd_inv_scan_0_to_acdc_pred_to_vopd_acdc_pred_0_from_inv_scan</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_up_samp_0" kactus2:comRef="from_idct"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_idct_0" kactus2:comRef="to_uo_samp"/>
<kactus2:route>
<kactus2:position x="560" y="190"/>
<kactus2:position x="590" y="190"/>
<kactus2:position x="590" y="630"/>
<kactus2:position x="560" y="630"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_inv_scan_0" kactus2:comRef="to_acdc_pred"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_acdc_pred_0" kactus2:comRef="from_inv_scan"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="1200" y="270"/>
<kactus2:position x="1220" y="270"/>
<kactus2:position x="1220" y="260"/>
<kactus2:position x="560" y="260"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>vopd_vop_rec_0_from_vop_mem_to_vopd_vop_mem_0_to_vop_rec</spirit:name>
<spirit:name>vopd_run_len_dec_0_to_inv_scan_to_vopd_inv_scan_0_from_run_len_dec</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_rec_0" kactus2:comRef="from_vop_mem"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_vop_mem_0" kactus2:comRef="to_vop_rec"/>
<kactus2:route>
<kactus2:position x="400" y="310"/>
<kactus2:position x="350" y="310"/>
<kactus2:position x="350" y="930"/>
<kactus2:position x="400" y="930"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_run_len_dec_0" kactus2:comRef="to_inv_scan"/>
<kactus2:activeComInterface kactus2:componentRef="vopd_inv_scan_0" kactus2:comRef="from_run_len_dec"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="130"/>
<kactus2:position x="1220" y="130"/>
<kactus2:position x="1220" y="250"/>
<kactus2:position x="1200" y="250"/>
</kactus2:route>
</kactus2:comConnection>
</kactus2:comConnections>
/product/samos_2012/1.0/samos_2012.pc_acc.design.1.0.xml
25,7 → 25,7
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="610"/>
37,7 → 37,7
<spirit:instanceName>nios_ii_sram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="480"/>
49,7 → 49,7
<spirit:instanceName>nios_ii_sdram</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="350"/>
/product/samos_2012/1.0/samos_2012.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:49:56 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:10 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/product/samos_2012/1.0/samos_2012.pc_dct_acc_mjpeg.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:25:31 -->
<!-- Date: 28.08.2012 -->
<!-- Time: 13:41:06 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
10,38 → 10,38
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:displayName>dct_acc</spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0</spirit:instanceName>
<spirit:displayName>nios_II_sdram</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:position x="480" y="260"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0</spirit:instanceName>
<spirit:displayName>nios_II_sdram</spirit:displayName>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:displayName>dct_acc</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="480" y="220"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName>nios_II_sram</spirit:displayName>
<spirit:instanceName>PC_x86</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="360"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
49,7 → 49,7
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze</spirit:instanceName>
<spirit:displayName>ublaze</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="520"/>
58,14 → 58,14
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>PC_x86</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName>nios_II_sram</spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:position x="160" y="360"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
87,13 → 87,12
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:instanceName>openmcapi_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.api" spirit:name="openmcapi" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="180"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
101,20 → 100,6
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>h.263_encoder_main</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
142,12 → 127,13
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>openmcapi_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.api" spirit:name="openmcapi" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="PC_x86"/>
<kactus2:position x="0" y="180"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
154,6 → 140,20
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
</kactus2:swInstances>
<kactus2:apiDependencies>
<kactus2:apiDependency>
162,7 → 162,7
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_1" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_main" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="80" y="280"/>
<kactus2:position x="60" y="280"/>
<kactus2:position x="60" y="150"/>
172,16 → 172,16
</kactus2:apiDependencies>
<kactus2:comConnections>
<kactus2:comConnection>
<spirit:name>h.263_encoder_main_block_count_out_to_de2_samos_soc_dct_to_hibi_0_block_count_in</spirit:name>
<spirit:name>h.263_encoder_main_dct_data_out_to_de2_samos_soc_dct_to_hibi_0_dct_data_in</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="block_count_out"/>
<kactus2:activeComInterface kactus2:componentRef="de2_samos_soc_dct_to_hibi_0" kactus2:comRef="block_count_in"/>
<kactus2:route>
<kactus2:position x="240" y="180"/>
<kactus2:position x="370" y="180"/>
<kactus2:position x="370" y="160"/>
<kactus2:position x="390" y="160"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="de2_samos_soc_dct_to_hibi_0" kactus2:comRef="dct_data_in"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="140"/>
<kactus2:position x="370" y="140"/>
<kactus2:position x="370" y="130"/>
<kactus2:position x="390" y="130"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
190,24 → 190,20
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="de2_samos_soc_dct_to_hibi_0" kactus2:comRef="dct_data_out"/>
<kactus2:route>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="200"/>
<kactus2:position x="590" y="200"/>
<kactus2:position x="590" y="130"/>
<kactus2:position x="570" y="130"/>
<kactus2:position x="390" y="200"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
<spirit:name>h.263_encoder_main_dct_data_out_to_de2_samos_soc_dct_to_hibi_0_dct_data_in</spirit:name>
<spirit:name>h.263_encoder_main_block_count_out_to_de2_samos_soc_dct_to_hibi_0_block_count_in</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="de2_samos_soc_dct_to_hibi_0" kactus2:comRef="dct_data_in"/>
<kactus2:route>
<kactus2:position x="240" y="140"/>
<kactus2:position x="370" y="140"/>
<kactus2:position x="370" y="130"/>
<kactus2:position x="390" y="130"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="block_count_out"/>
<kactus2:activeComInterface kactus2:componentRef="de2_samos_soc_dct_to_hibi_0" kactus2:comRef="block_count_in"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="180"/>
<kactus2:position x="390" y="180"/>
</kactus2:route>
</kactus2:comConnection>
</kactus2:comConnections>
/product/samos_2012/1.0/samos_2012.2xnios_mjpeg.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:53:52 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:20:34 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/product/samos_2012/1.0/samos_2012.pc_only_mjpeg.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 16:50:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:25:05 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
10,62 → 10,62
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0</spirit:instanceName>
<spirit:displayName>nios_</spirit:displayName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="490"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:position x="480" y="60"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="810"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:position x="480" y="220"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>de2_samos_soc_dct_to_hibi_0</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct_to_hibi" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="970"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_dct_to_hibi_0"/>
<kactus2:position x="160" y="650"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sram_0"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze</spirit:instanceName>
<spirit:displayName>ublaze</spirit:displayName>
<spirit:instanceName>PC_x86</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="650"/>
<kactus2:imported kactus2:importRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="160" y="220"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>PC_x86</spirit:instanceName>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="x86" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="160" y="60"/>
<kactus2:imported kactus2:importRef="PC_x86"/>
<kactus2:imported kactus2:importRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
73,13 → 73,13
<spirit:vendorExtensions>
<kactus2:swInstances>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_0_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_0_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_0"/>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze_0"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
101,20 → 101,6
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>xilinx_spartan_xc3S500e_ublaze_mcapi_ublaze_0</spirit:instanceName>
<spirit:displayName>mcapi_ublaze_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_ublaze" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="xilinx_spartan_xc3S500e_ublaze"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_ublaze_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>h.263_encoder_dct</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
182,6 → 168,20
</kactus2:comInterfacePosition>
</kactus2:comInterfacePositions>
</kactus2:swInstance>
<kactus2:swInstance>
<spirit:instanceName>de2_samos_soc_nios_ii_sdram_1_mcapi_nios_0</spirit:instanceName>
<spirit:displayName>mcapi_nios_0</spirit:displayName>
<spirit:description></spirit:description>
<kactus2:componentRef spirit:vendor="TUT" spirit:library="ip.swp.stack" spirit:name="mcapi_nios" spirit:version="1.0"/>
<kactus2:mapping kactus2:hwRef="de2_samos_soc_nios_ii_sdram_1"/>
<kactus2:position x="0" y="40"/>
<kactus2:imported kactus2:importRef="mcapi_nios_0"/>
<kactus2:apiInterfacePositions>
<kactus2:apiInterfacePosition kactus2:apiRef="mcapi">
<kactus2:position x="-80" y="40"/>
</kactus2:apiInterfacePosition>
</kactus2:apiInterfacePositions>
</kactus2:swInstance>
</kactus2:swInstances>
<kactus2:apiDependencies>
<kactus2:apiDependency>
190,11 → 190,11
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_1" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_dct" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="410"/>
<kactus2:position x="50" y="410"/>
<kactus2:position x="50" y="320"/>
<kactus2:position x="80" y="320"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="80" y="570"/>
<kactus2:position x="50" y="570"/>
<kactus2:position x="50" y="480"/>
<kactus2:position x="80" y="480"/>
</kactus2:route>
</kactus2:apiDependency>
<kactus2:apiDependency>
203,11 → 203,11
<spirit:description></spirit:description>
<kactus2:activeApiInterface kactus2:componentRef="openmcapi_1" kactus2:apiRef="mcapi"/>
<kactus2:activeApiInterface kactus2:componentRef="h.263_encoder_main" kactus2:apiRef="mcapi"/>
<kactus2:route>
<kactus2:position x="80" y="410"/>
<kactus2:position x="50" y="410"/>
<kactus2:position x="50" y="150"/>
<kactus2:position x="80" y="150"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="80" y="570"/>
<kactus2:position x="50" y="570"/>
<kactus2:position x="50" y="310"/>
<kactus2:position x="80" y="310"/>
</kactus2:route>
</kactus2:apiDependency>
</kactus2:apiDependencies>
218,11 → 218,11
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_in"/>
<kactus2:route>
<kactus2:position x="240" y="140"/>
<kactus2:position x="290" y="140"/>
<kactus2:position x="290" y="330"/>
<kactus2:position x="240" y="330"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="300"/>
<kactus2:position x="260" y="300"/>
<kactus2:position x="260" y="490"/>
<kactus2:position x="240" y="490"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
231,11 → 231,11
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="block_count_out"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="block_count_in"/>
<kactus2:route>
<kactus2:position x="240" y="200"/>
<kactus2:position x="260" y="200"/>
<kactus2:position x="260" y="280"/>
<kactus2:position x="240" y="280"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="360"/>
<kactus2:position x="260" y="360"/>
<kactus2:position x="260" y="440"/>
<kactus2:position x="240" y="440"/>
</kactus2:route>
</kactus2:comConnection>
<kactus2:comConnection>
244,11 → 244,11
<spirit:description></spirit:description>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_main" kactus2:comRef="dct_data_in"/>
<kactus2:activeComInterface kactus2:componentRef="h.263_encoder_dct" kactus2:comRef="dct_data_out"/>
<kactus2:route>
<kactus2:position x="240" y="170"/>
<kactus2:position x="270" y="170"/>
<kactus2:position x="270" y="310"/>
<kactus2:position x="240" y="310"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="240" y="330"/>
<kactus2:position x="270" y="330"/>
<kactus2:position x="270" y="470"/>
<kactus2:position x="240" y="470"/>
</kactus2:route>
</kactus2:comConnection>
</kactus2:comConnections>
/chip/xilinx_spartan_xc3S500e/1.0/xilinx_spartan_xc3S500e.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:52:50 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:01 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>chip</spirit:library>
10,16 → 10,16
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>ublaze</spirit:instanceName>
<spirit:instanceName>ublaze_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Xilinx" spirit:library="ip.hwp.cpu" spirit:name="ublaze" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="250" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="eth">
<kactus2:position x="-80" y="60"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:propertyValues/>
28,13 → 28,15
</spirit:componentInstances>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="eth">
<spirit:interface spirit:componentRef="ublaze" spirit:busRef="eth"/>
<spirit:interface spirit:componentRef="ublaze_0" spirit:busRef="eth"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="70"/>
<kactus2:position x="60" y="70"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="120"/>
<kactus2:position x="90" y="70"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="100"/>
<kactus2:position x="150" y="100"/>
<kactus2:position x="150" y="70"/>
<kactus2:position x="60" y="70"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
/chip/xilinx_spartan_xc3S500e/1.0/xilinx_spartan_xc3S500e.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:52:50 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:01 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>chip</spirit:library>
/chip/xilinx_spartan_xc3S500e/1.0/xilinx_spartan_xc3S500e.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:52:50 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:01 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>chip</spirit:library>
/soc/de2_samos_soc/1.0/de2_samos_soc.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:16:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:00:09 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
91,10 → 91,10
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sdram_0</spirit:instanceName>
<spirit:instanceName>nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="60"/>
141,7 → 141,7
<kactus2:position x="80" y="250"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster_0">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster_1">
<kactus2:position x="80" y="60"/>
153,7 → 153,7
<kactus2:position x="80" y="420"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave_0">
<kactus2:position x="-80" y="60"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave_1">
<kactus2:position x="80" y="80"/>
173,6 → 173,35
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_master">
<kactus2:position x="80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_slave">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:position x="-80" y="100"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>udp2hibi_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
236,38 → 265,30
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_master">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_slave">
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:position x="-80" y="100"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_clk_to_pll_0_ip_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>dct_to_hibi_0_clk_to_pll_0_ip_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
366,34 → 387,20
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sdram_0_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
<spirit:name>nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sdram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
<spirit:name>nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
408,19 → 415,12
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_ip_clk_to_nios_ii_sram_0_clk</spirit:name>
<spirit:name>pll_0_ip_clk_to_nios_ii_sdram_1_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_ip_clk_to_nios_ii_sdram_0_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="clk"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="rst_n">
434,7 → 434,29
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sram_if">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="sram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="370"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="160"/>
<kactus2:position x="810" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="130"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="dct_to_hibi_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
490,7 → 512,7
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
501,17 → 523,6
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="130"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_segment_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
534,7 → 545,7
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sdram_if">
<spirit:interface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="sdram_if"/>
<spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="sdram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="840" y="100"/>
<kactus2:direction x="-1" y="0"/>
544,17 → 555,6
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sram_if">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="sram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="370"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="160"/>
<kactus2:position x="810" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
</spirit:hierConnections>
<spirit:vendorExtensions>
<kactus2:columnLayout>
564,6 → 564,18
<kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="nios_ii_sram_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="100"/>
<kactus2:position x="540" y="290"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
<kactus2:position x="210" y="140"/>
<kactus2:position x="310" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="dct_to_hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="440"/>
<kactus2:position x="540" y="290"/>
632,22 → 644,14
<kactus2:position x="490" y="260"/>
<kactus2:position x="570" y="260"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_0_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:position x="570" y="120"/>
<kactus2:position x="470" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
<kactus2:position x="570" y="140"/>
<kactus2:position x="470" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="120"/>
<kactus2:position x="310" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_0" kactus2:offPage="false">
<kactus2:position x="570" y="260"/>
<kactus2:position x="490" y="260"/>
660,12 → 664,8
<kactus2:position x="490" y="270"/>
<kactus2:position x="470" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sram_0_clk" kactus2:offPage="true">
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_1_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="20" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_0_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="760" y="170"/>
</kactus2:route>
</kactus2:routes>
/soc/de2_samos_soc/1.0/de2_samos_soc.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:16:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:00:09 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
38,6 → 38,10
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>nios_ii_subsystem_0</spirit:instanceName>
<spirit:viewName>structural</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>pll_0</spirit:instanceName>
<spirit:viewName>rtl</spirit:viewName>
</spirit:viewConfiguration>
/soc/de2_samos_soc/1.0/de2_samos_soc.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:16:40 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:00:09 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
12,6 → 12,33
</spirit:description>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SW_17</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>DM9000A</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a.absDef" spirit:version="1.0"/>
178,33 → 205,6
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>SW_17</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sdram_clk</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
520,6 → 520,9
<spirit:name>structural</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="de2_samos_soc.designcfg" spirit:version="1.0"/>
<spirit:vendorExtensions>
<kactus2:topLevelViewRef>structural_vhd</kactus2:topLevelViewRef>
</spirit:vendorExtensions>
</spirit:view>
<spirit:view>
<spirit:name>acc_only</spirit:name>
526,6 → 529,15
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="mjpeg_de2_soc.acc_only.designcfg" spirit:version="1.0"/>
</spirit:view>
<spirit:view>
<spirit:name>structural_vhd</spirit:name>
<spirit:envIdentifier>VHDL:Kactus2:</spirit:envIdentifier>
<spirit:language spirit:strict="false">vhdl</spirit:language>
<spirit:modelName>de2_samos_soc(structural)</spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>structural_vhdlSource</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
</spirit:views>
<spirit:ports>
<spirit:port>
836,6 → 848,39
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
</spirit:file>
</spirit:fileSet>
<spirit:fileSet>
<spirit:name>structural_vhdlSource</spirit:name>
<spirit:group>sourceFiles</spirit:group>
<spirit:file>
<spirit:name>de2_samos_soc.vhd</spirit:name>
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">true</spirit:isIncludeFile>
<spirit:logicalName spirit:default="false">work</spirit:logicalName>
<spirit:buildCommand>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource</spirit:fileType>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:defaultFileBuilder>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource-87</spirit:fileType>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:defaultFileBuilder>
<spirit:defaultFileBuilder>
<spirit:fileType>vhdlSource-93</spirit:fileType>
<spirit:command>vcom</spirit:command>
<spirit:flags>-quiet -check_synthesis -work work</spirit:flags>
<spirit:replaceDefaultFlags>true</spirit:replaceDefaultFlags>
</spirit:defaultFileBuilder>
</spirit:fileSet>
</spirit:fileSets>
<spirit:vendorExtensions>
<kactus2:extensions>
/soc/mjpeg_de2_soc/1.0/mjpeg_de2_soc.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:28:22 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:12:23 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
10,35 → 10,6
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sdram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_master">
<kactus2:position x="-80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_slave">
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sdram_if">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>pll_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
126,6 → 97,35
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>nios_ii_sdram_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="650" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_master">
<kactus2:position x="-80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="hibi_slave">
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sdram_if">
<kactus2:position x="80" y="90"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_segment_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
182,7 → 182,7
<spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="60"/>
197,10 → 197,10
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="130"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:position x="-80" y="100"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
214,7 → 214,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp2hibi" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="210"/>
<kactus2:position x="130" y="240"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk">
<kactus2:position x="-80" y="40"/>
249,7 → 249,7
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp_ip_dm9000a" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="130" y="400"/>
<kactus2:position x="130" y="430"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="DM9000A">
<kactus2:position x="80" y="140"/>
274,59 → 274,59
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>pll_0_ip_clk_to_nios_ii_sdram_0_clk</spirit:name>
<spirit:name>nios_ii_sram_0_clk_to_pll_0_ip_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_ip_clk_to_nios_ii_sram_0_clk</spirit:name>
<spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_1</spirit:name>
<spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_1"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_0</spirit:name>
<spirit:name>pll_0_ip_clk_to_nios_ii_sdram_1_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_0"/>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
<spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
<spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_0</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
<spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_0"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sdram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
<spirit:name>nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_slave"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>nios_ii_sdram_0_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
<spirit:name>nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_master"/>
<spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_1"/>
</spirit:interconnection>
<spirit:interconnection>
425,21 → 425,34
<spirit:hierConnection spirit:interfaceRef="sram_if">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="sram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="370"/>
<kactus2:position x="810" y="70"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="160"/>
<kactus2:position x="810" y="370"/>
<kactus2:position x="20" y="140"/>
<kactus2:position x="810" y="70"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="190"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="sdram_if">
<spirit:interface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="sdram_if"/>
<spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="sdram_if"/>
<spirit:vendorExtensions>
<kactus2:position x="840" y="100"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="730" y="100"/>
<kactus2:position x="730" y="150"/>
<kactus2:position x="820" y="150"/>
<kactus2:position x="820" y="100"/>
<kactus2:position x="840" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
467,22 → 480,11
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
<spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="130"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="nios_ii_sdram_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="760" y="130"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
505,7 → 507,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="20" y="310"/>
<kactus2:position x="20" y="340"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
516,7 → 518,7
<kactus2:position x="810" y="130"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="240" y="480"/>
<kactus2:position x="240" y="510"/>
<kactus2:position x="810" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
538,7 → 540,7
<kactus2:position x="810" y="400"/>
<kactus2:direction x="-1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="240" y="540"/>
<kactus2:position x="240" y="570"/>
<kactus2:position x="810" y="400"/>
</kactus2:route>
</spirit:vendorExtensions>
563,13 → 565,21
<kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_0_clk" kactus2:offPage="true">
<kactus2:route kactus2:connRef="nios_ii_sram_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="100"/>
<kactus2:position x="540" y="290"/>
<kactus2:position x="760" y="170"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sram_0_clk" kactus2:offPage="true">
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="120"/>
<kactus2:position x="310" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_1_clk" kactus2:offPage="true">
<kactus2:position x="540" y="290"/>
<kactus2:position x="20" y="100"/>
<kactus2:position x="760" y="170"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_1" kactus2:offPage="false">
<kactus2:position x="570" y="270"/>
581,28 → 591,24
<kactus2:position x="490" y="250"/>
<kactus2:position x="470" y="250"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
<kactus2:position x="210" y="120"/>
<kactus2:position x="310" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
<kactus2:position x="210" y="100"/>
<kactus2:position x="310" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
<kactus2:position x="570" y="140"/>
<kactus2:position x="470" y="140"/>
</kactus2:route>
<kactus2:route kactus2:connRef="nios_ii_sdram_0_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
<kactus2:position x="570" y="120"/>
<kactus2:position x="470" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_2" kactus2:offPage="false">
<kactus2:position x="210" y="280"/>
<kactus2:position x="210" y="310"/>
<kactus2:position x="290" y="310"/>
<kactus2:position x="290" y="280"/>
<kactus2:position x="310" y="280"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_2" kactus2:offPage="false">
<kactus2:position x="210" y="260"/>
<kactus2:position x="210" y="290"/>
<kactus2:position x="290" y="290"/>
<kactus2:position x="290" y="260"/>
<kactus2:position x="310" y="260"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_segment_0_clocks_2_to_pll_0_hibi_clk" kactus2:offPage="false">
612,30 → 618,32
<kactus2:position x="570" y="270"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_clk_udp_to_pll_0_clk_25MHz" kactus2:offPage="false">
<kactus2:position x="210" y="350"/>
<kactus2:position x="210" y="380"/>
<kactus2:position x="550" y="380"/>
<kactus2:position x="550" y="350"/>
<kactus2:position x="570" y="350"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="20" y="250"/>
<kactus2:position x="20" y="280"/>
<kactus2:position x="540" y="290"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_tx_to_udp2hibi_0_udp_ip_tx" kactus2:offPage="false">
<kactus2:position x="50" y="480"/>
<kactus2:position x="20" y="480"/>
<kactus2:position x="20" y="330"/>
<kactus2:position x="50" y="330"/>
<kactus2:position x="50" y="510"/>
<kactus2:position x="20" y="510"/>
<kactus2:position x="20" y="360"/>
<kactus2:position x="50" y="360"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rx" kactus2:offPage="false">
<kactus2:position x="50" y="440"/>
<kactus2:position x="30" y="440"/>
<kactus2:position x="30" y="350"/>
<kactus2:position x="50" y="350"/>
<kactus2:position x="50" y="470"/>
<kactus2:position x="30" y="470"/>
<kactus2:position x="30" y="380"/>
<kactus2:position x="50" y="380"/>
</kactus2:route>
<kactus2:route kactus2:connRef="pll_0_clk_25MHz_to_udp_ip_dm9000a_0_clk" kactus2:offPage="false">
<kactus2:position x="570" y="350"/>
<kactus2:position x="250" y="350"/>
<kactus2:position x="250" y="440"/>
<kactus2:position x="210" y="440"/>
<kactus2:position x="250" y="470"/>
<kactus2:position x="210" y="470"/>
</kactus2:route>
<kactus2:route kactus2:connRef="udp2hibi_1_clk_to_pll_0_ip_clk" kactus2:offPage="true">
<kactus2:position x="540" y="440"/>
/soc/mjpeg_de2_soc/1.0/mjpeg_de2_soc.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:28:22 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:12:23 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
/soc/mjpeg_de2_soc/1.0/mjpeg_de2_soc.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:28:22 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:12:23 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
12,24 → 12,24
</spirit:description>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>DM9000A</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a.absDef" spirit:version="1.0"/>
<spirit:name>sdram_if</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sdram_io.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sdram_io.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_clk_out</spirit:name>
<spirit:name>sdram_address_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>11</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_CLK</spirit:name>
<spirit:name>DRAM_ADDR</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>11</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
36,16 → 36,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_chip_sel_out</spirit:name>
<spirit:name>sdram_ba_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_CS_N</spirit:name>
<spirit:name>DRAM_BA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
52,7 → 52,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_cmd_out</spirit:name>
<spirit:name>sdram_cas_n_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
59,7 → 59,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_CMD</spirit:name>
<spirit:name>DRAM_CAS_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
68,16 → 68,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_data_inout</spirit:name>
<spirit:name>sdram_cke_out</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_DATA</spirit:name>
<spirit:name>DRAM_CKE</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
84,7 → 84,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_interrupt_in</spirit:name>
<spirit:name>sdram_cs_n_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
91,7 → 91,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_INT</spirit:name>
<spirit:name>DRAM_CS_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
100,16 → 100,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_read_out</spirit:name>
<spirit:name>sdram_data_inout</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_RD_N</spirit:name>
<spirit:name>DRAM_DQ</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
116,14 → 116,30
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_reset_out</spirit:name>
<spirit:name>sdram_dqm_out</spirit:name>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_DQM</spirit:name>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_ras_n_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_RST_N</spirit:name>
<spirit:name>DRAM_RAS_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
132,7 → 148,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>eth_write_out</spirit:name>
<spirit:name>sdram_we_n_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
139,7 → 155,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>ENET_WR_N</spirit:name>
<spirit:name>DRAM_WE_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
151,10 → 167,10
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:name>sdram_clk</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
166,7 → 182,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>CLOCK_50</spirit:name>
<spirit:name>DRAM_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
205,10 → 221,10
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sdram_clk</spirit:name>
<spirit:name>clk_in</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
220,7 → 236,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_CLK</spirit:name>
<spirit:name>CLOCK_50</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
232,24 → 248,24
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>sdram_if</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sdram_io.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.storage" spirit:name="sdram_io.absDef" spirit:version="1.0"/>
<spirit:name>DM9000A</spirit:name>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="ethernet_dm9000a.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_address_out</spirit:name>
<spirit:name>eth_clk_out</spirit:name>
<spirit:vector>
<spirit:left>11</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_ADDR</spirit:name>
<spirit:name>ENET_CLK</spirit:name>
<spirit:vector>
<spirit:left>11</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
256,16 → 272,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_ba_out</spirit:name>
<spirit:name>eth_chip_sel_out</spirit:name>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_BA</spirit:name>
<spirit:name>ENET_CS_N</spirit:name>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
272,7 → 288,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_cas_n_out</spirit:name>
<spirit:name>eth_cmd_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
279,7 → 295,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_CAS_N</spirit:name>
<spirit:name>ENET_CMD</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
288,16 → 304,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_cke_out</spirit:name>
<spirit:name>eth_data_inout</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_CKE</spirit:name>
<spirit:name>ENET_DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>15</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
304,7 → 320,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_cs_n_out</spirit:name>
<spirit:name>eth_interrupt_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
311,7 → 327,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_CS_N</spirit:name>
<spirit:name>ENET_INT</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
320,16 → 336,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_data_inout</spirit:name>
<spirit:name>eth_read_out</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_DQ</spirit:name>
<spirit:name>ENET_RD_N</spirit:name>
<spirit:vector>
<spirit:left>15</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
336,30 → 352,14
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_dqm_out</spirit:name>
<spirit:name>eth_reset_out</spirit:name>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_DQM</spirit:name>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_ras_n_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_RAS_N</spirit:name>
<spirit:name>ENET_RST_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
368,7 → 368,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>sdram_we_n_out</spirit:name>
<spirit:name>eth_write_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
375,7 → 375,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>DRAM_WE_N</spirit:name>
<spirit:name>ENET_WR_N</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
/soc/altera_de_II_demo/1.0/ip_xact/altera_de_II_demo.1.0.xml
1,5 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus215:51:58 14.03.2012-->
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 29.08.2012 -->
<!-- Time: 11:24:27 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
146,6 → 149,11
<spirit:localName>vhdlSource</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<spirit:view>
<spirit:name>foobar</spirit:name>
<spirit:envIdentifier>::</spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="foobar" spirit:library="soc" spirit:name="altera_de_II_demo.foobar.designcfg" spirit:version="1.0"/>
</spirit:view>
</spirit:views>
<spirit:ports>
<spirit:port>
164,9 → 172,11
<spirit:viewNameRef>rtl</spirit:viewNameRef>
<spirit:viewNameRef>kactusHierarchical</spirit:viewNameRef>
<spirit:viewNameRef>structural_vhdl</spirit:viewNameRef>
<spirit:viewNameRef>foobar</spirit:viewNameRef>
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>port_out</spirit:name>
184,9 → 194,11
<spirit:viewNameRef>rtl</spirit:viewNameRef>
<spirit:viewNameRef>kactusHierarchical</spirit:viewNameRef>
<spirit:viewNameRef>structural_vhdl</spirit:viewNameRef>
<spirit:viewNameRef>foobar</spirit:viewNameRef>
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>rst_n</spirit:name>
204,9 → 216,11
<spirit:viewNameRef>rtl</spirit:viewNameRef>
<spirit:viewNameRef>kactusHierarchical</spirit:viewNameRef>
<spirit:viewNameRef>structural_vhdl</spirit:viewNameRef>
<spirit:viewNameRef>foobar</spirit:viewNameRef>
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>toggle_in</spirit:name>
224,9 → 238,11
<spirit:viewNameRef>rtl</spirit:viewNameRef>
<spirit:viewNameRef>kactusHierarchical</spirit:viewNameRef>
<spirit:viewNameRef>structural_vhdl</spirit:viewNameRef>
<spirit:viewNameRef>foobar</spirit:viewNameRef>
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
</spirit:ports>
</spirit:model>
252,9 → 268,6
<spirit:name>../quartus/atom_netlists/altera_de_II_demo.qsf</spirit:name>
<spirit:userFileType>quartusPinmap</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
<spirit:description>Pinmap file for Altera DE2 development board. Quartus generator will use this as a template (practically, it copies all the data and updates the file paths).</spirit:description>
</spirit:file>
</spirit:fileSet>
265,9 → 278,6
<spirit:name>../doc/Altera DE 2 demo instructions for Kactus 2.pptx</spirit:name>
<spirit:userFileType>documentation</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
<spirit:description>Step-by-step instructions how to generate top-level VHDL and Quartus project for this demo SoC</spirit:description>
</spirit:file>
</spirit:fileSet>
278,17 → 288,11
<spirit:name>../sim/sim.do</spirit:name>
<spirit:userFileType>Modelsim do-file</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
<spirit:file>
<spirit:name>../sim/all_waves.do</spirit:name>
<spirit:userFileType>Modelsim do-file</spirit:userFileType>
<spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
<spirit:buildCommand>
<spirit:replaceDefaultFlags>false</spirit:replaceDefaultFlags>
</spirit:buildCommand>
</spirit:file>
<spirit:file>
<spirit:name>../sim/compile_all.do</spirit:name>
/board/altera_de2_board/1.0/altera_de2_board.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:49:44 -->
<!-- Date: 29.08.2012 -->
<!-- Time: 13:05:20 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/board/altera_de2_board/1.0/altera_de2_board.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:49:44 -->
<!-- Date: 29.08.2012 -->
<!-- Time: 13:05:20 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
10,18 → 10,15
<spirit:version>1.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>dm_9000_eth_ctrl</spirit:instanceName>
<spirit:instanceName>SRAM_512KB</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="davicon_dm9000_eth_ctrl" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="SRAM_512KB" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="390" y="480"/>
<kactus2:position x="390" y="370"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="eth_ext">
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="eth_fpga">
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:position x="-80" y="60"/>
</kactus2:portPosition>
</kactus2:portPositions>
29,17 → 26,20
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>clock_osc_50MHz</spirit:instanceName>
<spirit:instanceName>SDRAM_8MB</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="clock_oscillator" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="SDRAM_8MB" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="390" y="60"/>
<kactus2:position x="390" y="260"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="clk_out">
<kactus2:portPosition kactus2:busRef="sdram_clk">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sdram_if">
<kactus2:position x="-80" y="60"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
61,34 → 61,34
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>SDRAM_8MB</spirit:instanceName>
<spirit:instanceName>clock_osc_50MHz</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="SDRAM_8MB" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="clock_oscillator" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="390" y="260"/>
<kactus2:position x="390" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="sdram_clk">
<kactus2:portPosition kactus2:busRef="clk_out">
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="sdram_if">
<kactus2:position x="-80" y="60"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>SRAM_512KB</spirit:instanceName>
<spirit:instanceName>dm_9000_eth_ctrl</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="SRAM_512KB" spirit:version="1.0"/>
<spirit:componentRef spirit:vendor="TUT" spirit:library="chip" spirit:name="davicon_dm9000_eth_ctrl" spirit:version="1.0"/>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="390" y="370"/>
<kactus2:position x="390" y="480"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="sram_if">
<kactus2:portPosition kactus2:busRef="eth_ext">
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="eth_fpga">
<kactus2:position x="-80" y="60"/>
</kactus2:portPosition>
</kactus2:portPositions>
130,46 → 130,46
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>push_buttons_0_button_17_to_cyclone_II_0_rst_n</spirit:name>
<spirit:name>cyclone_II_0_sdram_if_to_SDRAM_8MB_sdram_if</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="push_buttons_0" spirit:busRef="button_17"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="rst_n"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="sdram_if"/>
<spirit:activeInterface spirit:componentRef="SDRAM_8MB" spirit:busRef="sdram_if"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>cyclone_II_0_DM9000A_to_dm_9000_eth_ctrl_eth_fpga</spirit:name>
<spirit:name>cyclone_II_0_sdram_clk_to_SDRAM_8MB_sdram_clk</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="DM9000A"/>
<spirit:activeInterface spirit:componentRef="dm_9000_eth_ctrl" spirit:busRef="eth_fpga"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="sdram_clk"/>
<spirit:activeInterface spirit:componentRef="SDRAM_8MB" spirit:busRef="sdram_clk"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>clock_oscillator_0_clk_out_to_cyclone_II_0_clk_in</spirit:name>
<spirit:name>cyclone_II_0_sram_if_to_unnamed_1_sram_if</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="clock_osc_50MHz" spirit:busRef="clk_out"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="clk_in"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="sram_if"/>
<spirit:activeInterface spirit:componentRef="SRAM_512KB" spirit:busRef="sram_if"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>cyclone_II_0_sram_if_to_unnamed_1_sram_if</spirit:name>
<spirit:name>clock_oscillator_0_clk_out_to_cyclone_II_0_clk_in</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="sram_if"/>
<spirit:activeInterface spirit:componentRef="SRAM_512KB" spirit:busRef="sram_if"/>
<spirit:activeInterface spirit:componentRef="clock_osc_50MHz" spirit:busRef="clk_out"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="clk_in"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>cyclone_II_0_sdram_clk_to_SDRAM_8MB_sdram_clk</spirit:name>
<spirit:name>cyclone_II_0_DM9000A_to_dm_9000_eth_ctrl_eth_fpga</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="sdram_clk"/>
<spirit:activeInterface spirit:componentRef="SDRAM_8MB" spirit:busRef="sdram_clk"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="DM9000A"/>
<spirit:activeInterface spirit:componentRef="dm_9000_eth_ctrl" spirit:busRef="eth_fpga"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>cyclone_II_0_sdram_if_to_SDRAM_8MB_sdram_if</spirit:name>
<spirit:name>push_buttons_0_button_17_to_cyclone_II_0_rst_n</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="sdram_if"/>
<spirit:activeInterface spirit:componentRef="SDRAM_8MB" spirit:busRef="sdram_if"/>
<spirit:activeInterface spirit:componentRef="push_buttons_0" spirit:busRef="button_17"/>
<spirit:activeInterface spirit:componentRef="cyclone_II_0" spirit:busRef="rst_n"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
192,30 → 192,30
<kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="push_buttons_0_button_17_to_cyclone_II_0_rst_n" kactus2:offPage="false">
<kactus2:position x="310" y="210"/>
<kactus2:position x="210" y="210"/>
<kactus2:route kactus2:connRef="cyclone_II_0_sdram_if_to_SDRAM_8MB_sdram_if" kactus2:offPage="false">
<kactus2:position x="210" y="320"/>
<kactus2:position x="310" y="320"/>
</kactus2:route>
<kactus2:route kactus2:connRef="cyclone_II_0_DM9000A_to_dm_9000_eth_ctrl_eth_fpga" kactus2:offPage="false">
<kactus2:position x="210" y="540"/>
<kactus2:position x="310" y="540"/>
<kactus2:route kactus2:connRef="cyclone_II_0_sdram_clk_to_SDRAM_8MB_sdram_clk" kactus2:offPage="false">
<kactus2:position x="210" y="300"/>
<kactus2:position x="310" y="300"/>
</kactus2:route>
<kactus2:route kactus2:connRef="cyclone_II_0_sram_if_to_unnamed_1_sram_if" kactus2:offPage="false">
<kactus2:position x="210" y="430"/>
<kactus2:position x="310" y="430"/>
</kactus2:route>
<kactus2:route kactus2:connRef="clock_oscillator_0_clk_out_to_cyclone_II_0_clk_in" kactus2:offPage="false">
<kactus2:position x="310" y="100"/>
<kactus2:position x="210" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="cyclone_II_0_sram_if_to_unnamed_1_sram_if" kactus2:offPage="false">
<kactus2:position x="210" y="430"/>
<kactus2:position x="310" y="430"/>
<kactus2:route kactus2:connRef="cyclone_II_0_DM9000A_to_dm_9000_eth_ctrl_eth_fpga" kactus2:offPage="false">
<kactus2:position x="210" y="540"/>
<kactus2:position x="310" y="540"/>
</kactus2:route>
<kactus2:route kactus2:connRef="cyclone_II_0_sdram_clk_to_SDRAM_8MB_sdram_clk" kactus2:offPage="false">
<kactus2:position x="210" y="300"/>
<kactus2:position x="310" y="300"/>
<kactus2:route kactus2:connRef="push_buttons_0_button_17_to_cyclone_II_0_rst_n" kactus2:offPage="false">
<kactus2:position x="310" y="210"/>
<kactus2:position x="210" y="210"/>
</kactus2:route>
<kactus2:route kactus2:connRef="cyclone_II_0_sdram_if_to_SDRAM_8MB_sdram_if" kactus2:offPage="false">
<kactus2:position x="210" y="320"/>
<kactus2:position x="310" y="320"/>
</kactus2:route>
</kactus2:routes>
</spirit:vendorExtensions>
</spirit:design>
/board/altera_de2_board/1.0/altera_de2_board.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 13:49:44 -->
<!-- Date: 29.08.2012 -->
<!-- Time: 13:05:20 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/board/xilinx_spartan_3e/1.0/xilinx_spartan_3e.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 04.07.2012 -->
<!-- Time: 13:17:28 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:07 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/board/xilinx_spartan_3e/1.0/xilinx_spartan_3e.design.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 04.07.2012 -->
<!-- Time: 13:17:28 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:07 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/board/xilinx_spartan_3e/1.0/xilinx_spartan_3e.designcfg.1.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 04.07.2012 -->
<!-- Time: 13:17:28 -->
<!-- Date: 03.09.2012 -->
<!-- Time: 13:51:07 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/ip.hwp.storage/sdram_io.absDef/1.0/sdram_io.absDef.1.0.xml
1,5 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus210:07:14 09.03.2012-->
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 28.08.2012 -->
<!-- Time: 17:12:26 -->
<spirit:abstractionDefinition xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.storage</spirit:library>
/ip.hwp.storage/sdram_io.busdef/1.0/sdram_io.busdef.1.0.xml
1,5 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus210:07:14 09.03.2012-->
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 28.08.2012 -->
<!-- Time: 17:12:26 -->
<spirit:busDefinition xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.storage</spirit:library>
/ip.hwp.storage/fifos/fifo_mk2/1.0/vhd/ram_1clk.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-01-13
-- Last update: 2011-10-19
-- Last update: 2012-06-14
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
51,7 → 51,7
type ram_type is array (0 to depth_g-1)
of std_logic_vector(data_width_g-1 downto 0);
 
signal ram_r : ram_type;
signal ram_r : ram_type := (others => (others => '0'));
signal read_addr_r : integer range 0 to depth_g-1;
begin -- architecture rtl
/ip.hwp.communication/pkt_codec_mk2/1.0/vhd/addr_gen.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-10-12
-- Last update: 2011-10-25
-- Last update: 2012-05-10
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
31,7 → 31,8
generic (
cmd_width_g : positive;
data_width_g : positive;
addr_flit_en_g : natural);
addr_flit_en_g : natural;
noc_type_g : natural);
 
port (
clk : in std_logic;
76,102 → 77,114
net_data_out <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
 
-- default
if net_stall_in = '0' then
stall_r <= '0';
end if;
-- FH mesh
if noc_type_g = 3 then
if net_stall_in = '0' then
net_cmd_out <= ip_cmd_in;
net_data_out <= ip_data_in;
end if;
end if;
 
case state_r is
-----------------------------------------------------------------------
-- IDLE
-----------------------------------------------------------------------
when idle =>
if net_stall_in = '0' then
if ip_cmd_in = "00" then
net_cmd_out <= "00";
first_data_r <= '0';
elsif ip_cmd_in = "01" then
net_cmd_out <= "00";
first_data_r <= '1';
addr_r <= ip_data_in;
state_r <= addr;
else
first_data_r <= '1';
data_r <= ip_data_in;
net_cmd_out <= "01";
net_data_out <= addr_r;
state_r <= data;
end if;
end if;
-- ase nocs
if noc_type_g /= 3 then
 
---------------------------------------------------------------------
-- ADDR
---------------------------------------------------------------------
when addr =>
if net_stall_in = '0' then
if ip_cmd_in = "00" then
state_r <= idle;
net_cmd_out <= "00";
first_data_r <= '0';
elsif ip_cmd_in = "01" then
addr_r <= ip_data_in;
state_r <= addr;
net_cmd_out <= "00";
first_data_r <= '1';
else
net_cmd_out <= "01";
net_data_out <= addr_r;
data_r <= ip_data_in;
state_r <= data;
end if;
end if;
-- default
if net_stall_in = '0' then
stall_r <= '0';
end if;
 
case state_r is
---------------------------------------------------------------------
-- DATA
-- IDLE
---------------------------------------------------------------------
when data =>
if net_stall_in = '0' then
if ip_cmd_in = "00" then
if first_data_r = '1' and addr_flit_en_g = 1 then
stall_r <= '1';
net_cmd_out <= "10";
net_data_out <= orig_addr_in;
when idle =>
if net_stall_in = '0' then
if ip_cmd_in = "00" then
net_cmd_out <= "00";
first_data_r <= '0';
elsif ip_cmd_in = "01" then
net_cmd_out <= "00";
first_data_r <= '1';
addr_r <= ip_data_in;
state_r <= addr;
else
net_data_out <= data_r;
net_cmd_out <= "10";
first_data_r <= '1';
data_r <= ip_data_in;
net_cmd_out <= "01";
net_data_out <= addr_r;
state_r <= data;
end if;
end if;
 
-------------------------------------------------------------------
-- ADDR
-------------------------------------------------------------------
when addr =>
if net_stall_in = '0' then
if ip_cmd_in = "00" then
state_r <= idle;
end if;
elsif ip_cmd_in = "01" then
if first_data_r = '1' and addr_flit_en_g = 1 then
stall_r <= '1';
net_cmd_out <= "10";
net_data_out <= orig_addr_in;
net_cmd_out <= "00";
first_data_r <= '0';
else
net_data_out <= data_r;
net_cmd_out <= "10";
elsif ip_cmd_in = "01" then
addr_r <= ip_data_in;
state_r <= addr;
first_data_r <= '1'; -- ase 25-10-2011
end if;
else
if first_data_r = '1' and addr_flit_en_g = 1 then
stall_r <= '1';
net_cmd_out <= "10";
net_data_out <= orig_addr_in;
first_data_r <= '0';
net_cmd_out <= "00";
first_data_r <= '1';
else
net_data_out <= data_r;
net_cmd_out <= "10";
net_cmd_out <= "01";
net_data_out <= addr_r;
data_r <= ip_data_in;
state_r <= data;
end if;
end if;
end if;
when others => null;
end case;
 
-------------------------------------------------------------------
-- DATA
-------------------------------------------------------------------
when data =>
if net_stall_in = '0' then
if ip_cmd_in = "00" then
if first_data_r = '1' and addr_flit_en_g = 1 then
stall_r <= '1';
net_cmd_out <= "10";
net_data_out <= orig_addr_in;
first_data_r <= '0';
else
net_data_out <= data_r;
net_cmd_out <= "10";
state_r <= idle;
end if;
elsif ip_cmd_in = "01" then
if first_data_r = '1' and addr_flit_en_g = 1 then
stall_r <= '1';
net_cmd_out <= "10";
net_data_out <= orig_addr_in;
first_data_r <= '0';
else
net_data_out <= data_r;
net_cmd_out <= "10";
addr_r <= ip_data_in;
state_r <= addr;
first_data_r <= '1'; -- ase 25-10-2011
end if;
else
if first_data_r = '1' and addr_flit_en_g = 1 then
stall_r <= '1';
net_cmd_out <= "10";
net_data_out <= orig_addr_in;
first_data_r <= '0';
else
net_data_out <= data_r;
net_cmd_out <= "10";
data_r <= ip_data_in;
end if;
end if;
end if;
when others => null;
end case;
 
end if;
end if;
end process fsm_p;
/ip.hwp.communication/pkt_codec_mk2/1.0/vhd/pkt_codec_mk2.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-01-12
-- Last update: 2011-12-02
-- Last update: 2012-06-14
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
27,6 → 27,8
--
-- noc_type_g 0 : ase_noc
-- noc_type_g 1 : ase_mesh1
-- noc_type_g 2 : ase_dring1
-- noc_type_g 3 : fh_mesh_2d
--
-------------------------------------------------------------------------------
-- Copyright (c) 2011
45,7 → 47,7
use work.ase_mesh1_pkg.all;
 
entity pkt_codec_mk2 is
 
generic (
my_id_g : natural;
data_width_g : positive; -- in bits
56,9 → 58,11
agent_ports_g : positive;
addr_flit_en_g : natural; -- put addr from IP to 2nd flit of pkt?
address_mode_g : natural; -- 3 choices: 0-2
clock_mode_g : natural; -- 0: synchr, 1= clk_ip differs from clk_net
clock_mode_g : natural; -- 0: synchr, 1= clk_ip differs from clk_net
rip_addr_g : natural; -- remove noc addr at the receiver?
noc_type_g : natural
noc_type_g : natural;
len_width_g : natural := 8; -- 2012-05-04
fifo_depth_g : natural := 4
);
port (
clk_ip : in std_logic;
75,6 → 79,8
ip_data_in : in std_logic_vector(data_width_g-1 downto 0);
ip_stall_out : out std_logic;
 
ip_len_in : in std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
 
-- NoC write interface
net_cmd_out : out std_logic_vector(cmd_width_g-1 downto 0);
net_data_out : out std_logic_vector(data_width_g-1 downto 0);
101,6 → 107,8
signal net_cmd_from_cdc : std_logic_vector(cmd_width_g-1 downto 0);
signal net_data_from_cdc : std_logic_vector(data_width_g-1 downto 0);
signal net_stall_to_cdc : std_logic;
-- 2012-05-04
signal net_len_from_cdc : std_logic_vector(len_width_g-1 downto 0);
-- at -> ag
signal net_cmd_from_at : std_logic_vector(cmd_width_g-1 downto 0);
signal net_data_from_at : std_logic_vector(data_width_g-1 downto 0);
113,39 → 121,46
signal ip_data_from_ar : std_logic_vector(data_width_g-1 downto 0);
signal ip_stall_to_ar : std_logic;
 
 
-------------------------------------------------------------------------------
begin -- architecture structural
-------------------------------------------------------------------------------
 
 
-----------------------------------------------------------------------------
-- CLOCK DOMAIN CROSSING (cdc) at both ends (sender + receiver)
-----------------------------------------------------------------------------
 
 
cdc_1 : entity work.cdc
generic map (
cmd_width_g => cmd_width_g,
data_width_g => data_width_g,
clock_mode_g => clock_mode_g
clock_mode_g => clock_mode_g,
len_width_g => len_width_g,
fifo_depth_g => fifo_depth_g
)
port map (
clk_ip => clk_ip,
clk_net => clk_net,
rst_n => rst_n,
clk_ip => clk_ip,
clk_net => clk_net,
rst_n => rst_n,
 
ip_cmd_out => ip_cmd_out,
ip_data_out => ip_data_out,
ip_stall_in => ip_stall_in,
ip_cmd_out => ip_cmd_out,
ip_data_out => ip_data_out,
ip_stall_in => ip_stall_in,
 
ip_cmd_in => ip_cmd_in,
ip_data_in => ip_data_in,
ip_stall_out => ip_stall_out,
ip_cmd_in => ip_cmd_in,
ip_data_in => ip_data_in,
ip_stall_out => ip_stall_out,
 
net_cmd_out => net_cmd_from_cdc,
net_data_out => net_data_from_cdc,
net_stall_in => net_stall_to_cdc,
ip_len_in => ip_len_in, -- 2012-05-04
 
net_cmd_out => net_cmd_from_cdc,
net_data_out => net_data_from_cdc,
net_stall_in => net_stall_to_cdc,
 
net_len_out => net_len_from_cdc, -- 2012-05-04
 
net_cmd_in => ip_cmd_from_ar,
net_data_in => ip_data_from_ar,
net_stall_out => ip_stall_to_ar);
165,15 → 180,17
agents_g => agents_g,
agent_ports_g => agent_ports_g,
addr_flit_en_g => addr_flit_en_g,
noc_type_g => noc_type_g
noc_type_g => noc_type_g,
len_width_g => len_width_g
)
port map (
clk => clk_net,
rst_n => rst_n,
clk => clk_net,
rst_n => rst_n,
 
ip_cmd_in => net_cmd_from_cdc,
ip_data_in => net_data_from_cdc,
ip_stall_out => net_stall_to_cdc,
ip_cmd_in => net_cmd_from_cdc,
ip_data_in => net_data_from_cdc,
ip_stall_out => net_stall_to_cdc,
ip_len_in => net_len_from_cdc, -- 2012-05-04
 
net_cmd_out => net_cmd_from_at,
net_data_out => net_data_from_at,
185,16 → 202,16
-----------------------------------------------------------------------------
-- ADDRESS GENERATOR (only at sender side, i.e. from IP to NET)
-----------------------------------------------------------------------------
addr_gen_1 : entity work.addr_gen
generic map (
cmd_width_g => cmd_width_g,
data_width_g => data_width_g,
addr_flit_en_g => addr_flit_en_g
addr_flit_en_g => addr_flit_en_g,
noc_type_g => noc_type_g
)
port map (
clk => clk_net,
rst_n => rst_n,
clk => clk_net,
rst_n => rst_n,
 
ip_cmd_in => net_cmd_from_at,
ip_data_in => net_data_from_at,
210,7 → 227,7
-----------------------------------------------------------------------------
-- ADDRESS RIPPER / REPLACER (only at receiver side, i.e. from NET to IP)
-----------------------------------------------------------------------------
 
addr_rip_1 : entity work.addr_rip
generic map (
cmd_width_g => cmd_width_g,
219,15 → 236,15
rip_addr_g => rip_addr_g
)
port map (
clk => clk_net,
rst_n => rst_n,
clk => clk_net,
rst_n => rst_n,
 
net_cmd_in => net_cmd_in,
net_data_in => net_data_in,
net_stall_out => net_stall_out,
ip_cmd_out => ip_cmd_from_ar,
ip_data_out => ip_data_from_ar,
ip_stall_in => ip_stall_to_ar);
 
ip_cmd_out => ip_cmd_from_ar,
ip_data_out => ip_data_from_ar,
ip_stall_in => ip_stall_to_ar);
 
end architecture structural;
/ip.hwp.communication/pkt_codec_mk2/1.0/vhd/addr_translation.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-10-12
-- Last update: 2012-03-19
-- Last update: 2012-05-04
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
47,7 → 47,8
agents_g : positive;
agent_ports_g : positive;
addr_flit_en_g : natural;
noc_type_g : natural
noc_type_g : natural;
len_width_g : natural -- 2012-05-04
);
port (
clk : in std_logic;
56,6 → 57,7
ip_cmd_in : in std_logic_vector(cmd_width_g-1 downto 0);
ip_data_in : in std_logic_vector(data_width_g-1 downto 0);
ip_stall_out : out std_logic;
ip_len_in : in std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
-- to NET
net_cmd_out : out std_logic_vector(cmd_width_g-1 downto 0);
net_data_out : out std_logic_vector(data_width_g-1 downto 0);
67,13 → 69,13
 
architecture rtl of addr_translation is
 
signal addr_to_lut : std_logic_vector(data_width_g-1 downto 0);
signal addr_to_lut : std_logic_vector(data_width_g-1 downto 0);
signal addr_from_lut : std_logic_vector(data_width_g-1 downto 0);
signal orig_addr_r : std_logic_vector(data_width_g-1 downto 0);
begin -- rtl
 
safe_p: process (ip_cmd_in, ip_data_in)
safe_p : process (ip_cmd_in, ip_data_in)
begin -- process safe_p
if ip_cmd_in = "01" then
addr_to_lut <= ip_data_in;
81,7 → 83,7
addr_to_lut <= (others => '0');
end if;
end process safe_p;
 
addr_lut_1 : entity work.address_lut
generic map (
my_id_g => my_id_g,
91,10 → 93,12
rows_g => rows_g,
agent_ports_g => agent_ports_g,
agents_g => agents_g,
noc_type_g => noc_type_g
noc_type_g => noc_type_g,
len_width_g => len_width_g -- 2012-05-04
)
port map (
addr_in => addr_to_lut,
len_in => ip_len_in, -- 2012-05-04
addr_out => addr_from_lut
);
 
104,7 → 108,7
 
oa_p : process (clk, rst_n)
begin -- process oa_p
if rst_n = '0' then -- asynchronous reset (active low)
if rst_n = '0' then -- asynchronous reset (active low)
orig_addr_r <= (others => '0');
elsif clk'event and clk = '1' then -- rising clock edge
if ip_cmd_in = "01" then
/ip.hwp.communication/pkt_codec_mk2/1.0/vhd/address_lut.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-01-12
-- Last update: 2012-03-19
-- Last update: 2012-05-04
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
26,6 → 26,7
use work.ase_noc_pkg.all;
use work.ase_mesh1_pkg.all;
use work.ase_dring1_pkg.all;
use work.fh_mesh_pkg.all;
 
entity address_lut is
37,10 → 38,12
rows_g : positive;
agent_ports_g : positive;
agents_g : positive;
noc_type_g : natural);
noc_type_g : natural;
len_width_g : natural); -- 2012-05-04
 
port (
addr_in : in std_logic_vector(data_width_g-1 downto 0);
len_in : in std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
addr_out : out std_logic_vector(data_width_g-1 downto 0));
 
end entity address_lut;
60,7 → 63,8
type addr_lut_type is array (0 to n_addr_ranges_c-1) of addr_range_type;
 
function addr_gen (
constant target : natural)
constant target : natural;
length : integer)
return unsigned is
variable retval : unsigned(data_width_g-1 downto 0);
begin
79,10 → 83,17
data_width_g));
return retval;
end if;
if noc_type_g = 3 then
retval := unsigned(fh_mesh_address(my_id_g, target, rows_g, cols_g,
data_width_g, len_width_g,
length));
return retval;
end if;
end addr_gen;
 
function addr_gen_s (
signal target : integer)
signal target : integer;
length : integer)
return std_logic_vector is
variable retval : std_logic_vector(data_width_g-1 downto 0);
begin
92,14 → 103,19
return retval;
end if;
if noc_type_g = 1 then
retval := ase_mesh1_address(my_id_g, target, rows_g, cols_g,
retval := ase_mesh1_address(my_id_g, target, rows_g, cols_g,
data_width_g);
return retval;
end if;
if noc_type_g = 2 then
retval := dring1_address(my_id_g, target, agents_g, data_width_g);
retval := dring1_address(my_id_g, target, agents_g, data_width_g);
return retval;
end if;
if noc_type_g = 3 then
retval := fh_mesh_address(my_id_g, target, rows_g, cols_g,
data_width_g, len_width_g, length);
return retval;
end if;
end addr_gen_s;
 
-- First = address range's minimum address
108,38 → 124,38
 
constant addr_lut_c : addr_lut_type :=
(
(x"00000000", x"00FFFFFF", addr_gen(0)),
(x"01000000", x"01FFFFFF", addr_gen(1)),
(x"02000000", x"02FFFFFF", addr_gen(2)),
(x"03000000", x"03FFFFFF", addr_gen(3)),
(x"04000000", x"04FFFFFF", addr_gen(4)),
(x"05000000", x"05FFFFFF", addr_gen(5)),
(x"06000000", x"06FFFFFF", addr_gen(6)),
(x"07000000", x"07FFFFFF", addr_gen(7)),
(x"08000000", x"08FFFFFF", addr_gen(8)),
(x"09000000", x"09FFFFFF", addr_gen(9)),
(x"0A000000", x"0AFFFFFF", addr_gen(10)),
(x"0B000000", x"0BFFFFFF", addr_gen(11)),
(x"0C000000", x"0CFFFFFF", addr_gen(12)),
(x"0D000000", x"0DFFFFFF", addr_gen(13)),
(x"0E000000", x"0EFFFFFF", addr_gen(14)),
(x"0F000000", x"0FFFFFFF", addr_gen(15)),
(x"10000000", x"10FFFFFF", addr_gen(16)),
(x"11000000", x"11FFFFFF", addr_gen(17)),
(x"12000000", x"12FFFFFF", addr_gen(18)),
(x"13000000", x"13FFFFFF", addr_gen(19)),
(x"14000000", x"14FFFFFF", addr_gen(20)),
(x"15000000", x"15FFFFFF", addr_gen(21)),
(x"16000000", x"16FFFFFF", addr_gen(22)),
(x"17000000", x"17FFFFFF", addr_gen(23)),
(x"18000000", x"18FFFFFF", addr_gen(24)),
(x"19000000", x"19FFFFFF", addr_gen(25)),
(x"1A000000", x"1AFFFFFF", addr_gen(26)),
(x"1B000000", x"1BFFFFFF", addr_gen(27)),
(x"1C000000", x"1CFFFFFF", addr_gen(28)),
(x"1D000000", x"1DFFFFFF", addr_gen(29)),
(x"1E000000", x"1EFFFFFF", addr_gen(30)),
(x"1F000000", x"1FFFFFFF", addr_gen(31))
(x"00000000", x"00FFFFFF", addr_gen(0,8)),
(x"01000000", x"01FFFFFF", addr_gen(1,8)),
(x"02000000", x"02FFFFFF", addr_gen(2,8)),
(x"03000000", x"03FFFFFF", addr_gen(3,8)),
(x"04000000", x"04FFFFFF", addr_gen(4,8)),
(x"05000000", x"05FFFFFF", addr_gen(5,8)),
(x"06000000", x"06FFFFFF", addr_gen(6,8)),
(x"07000000", x"07FFFFFF", addr_gen(7,8)),
(x"08000000", x"08FFFFFF", addr_gen(8,8)),
(x"09000000", x"09FFFFFF", addr_gen(9,8)),
(x"0A000000", x"0AFFFFFF", addr_gen(10,8)),
(x"0B000000", x"0BFFFFFF", addr_gen(11,8)),
(x"0C000000", x"0CFFFFFF", addr_gen(12,8)),
(x"0D000000", x"0DFFFFFF", addr_gen(13,8)),
(x"0E000000", x"0EFFFFFF", addr_gen(14,8)),
(x"0F000000", x"0FFFFFFF", addr_gen(15,8)),
(x"10000000", x"10FFFFFF", addr_gen(16,8)),
(x"11000000", x"11FFFFFF", addr_gen(17,8)),
(x"12000000", x"12FFFFFF", addr_gen(18,8)),
(x"13000000", x"13FFFFFF", addr_gen(19,8)),
(x"14000000", x"14FFFFFF", addr_gen(20,8)),
(x"15000000", x"15FFFFFF", addr_gen(21,8)),
(x"16000000", x"16FFFFFF", addr_gen(22,8)),
(x"17000000", x"17FFFFFF", addr_gen(23,8)),
(x"18000000", x"18FFFFFF", addr_gen(24,8)),
(x"19000000", x"19FFFFFF", addr_gen(25,8)),
(x"1A000000", x"1AFFFFFF", addr_gen(26,8)),
(x"1B000000", x"1BFFFFFF", addr_gen(27,8)),
(x"1C000000", x"1CFFFFFF", addr_gen(28,8)),
(x"1D000000", x"1DFFFFFF", addr_gen(29,8)),
(x"1E000000", x"1EFFFFFF", addr_gen(30,8)),
(x"1F000000", x"1FFFFFFF", addr_gen(31,8))
);
 
-- constant addr_lut_c : addr_lut_type :=
182,7 → 198,7
use_int_addr_gen : if address_mode_g = 1 generate
 
noc_target <= to_integer(unsigned(addr_in(data_width_g-2 downto 0)));
addr_out <= addr_gen_s(noc_target);
addr_out <= addr_gen_s(noc_target, to_integer(unsigned(len_in)));
end generate use_int_addr_gen;
 
/ip.hwp.communication/pkt_codec_mk2/1.0/vhd/cdc.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-10-12
-- Last update: 2011-10-24
-- Last update: 2012-06-14
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
33,7 → 33,9
generic (
cmd_width_g : positive;
data_width_g : positive;
clock_mode_g : natural);
clock_mode_g : natural;
len_width_g : natural;
fifo_depth_g : natural);
 
port (
clk_ip : in std_logic;
48,10 → 50,14
ip_data_in : in std_logic_vector(data_width_g-1 downto 0);
ip_stall_out : out std_logic;
 
ip_len_in : in std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
 
net_cmd_out : out std_logic_vector(cmd_width_g-1 downto 0);
net_data_out : out std_logic_vector(data_width_g-1 downto 0);
net_stall_in : in std_logic;
 
net_len_out : out std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
 
net_cmd_in : in std_logic_vector(cmd_width_g-1 downto 0);
net_data_in : in std_logic_vector(data_width_g-1 downto 0);
net_stall_out : out std_logic);
73,8 → 79,10
signal net_re : std_logic;
signal ip_empty : std_logic;
signal net_empty : std_logic;
 
signal out_len : std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
signal out_len_r : std_logic_vector(len_width_g-1 downto 0); -- 2012-05-04
begin -- rtl
 
-----------------------------------------------------------------------------
91,6 → 99,8
net_cmd_out <= ip_cmd_in;
net_data_out <= ip_data_in;
ip_stall_out <= net_stall_in;
 
net_len_out <= ip_len_in; -- 2012-05-04
end generate clock_mode_0;
 
117,7 → 127,7
fifo_ip2net : entity work.fifo_2clk
generic map (
data_width_g => cmd_width_g+data_width_g,
depth_g => 4)
depth_g => fifo_depth_g)
port map (
rst_n => rst_n,
 
131,33 → 141,56
data_out => net_out_cd,
empty_out => net_empty);
 
sto1_p: process (clk_net, rst_n)
fifo_len2net : entity work.fifo_2clk -- 2012-05-04
generic map (
data_width_g => len_width_g,
depth_g => fifo_depth_g)
port map (
rst_n => rst_n,
 
clk_wr => clk_ip,
we_in => ip_we,
data_in => ip_len_in,
full_out => open,
 
clk_rd => clk_net,
re_in => net_re,
data_out => out_len,
empty_out => open);
 
sto1_p : process (clk_net, rst_n)
begin -- process sto1_p
if rst_n = '0' then -- asynchronous reset (active low)
net_out_cd_r <= (others => '0');
out_len_r <= (others => '0'); -- 2012-05-04
elsif clk_net'event and clk_net = '1' then -- rising clock edge
if net_stall_in = '0' and net_empty = '0' then
net_out_cd_r <= net_out_cd;
end if;
out_len_r <= out_len; -- 2012-05-04
end if;
end if;
end process sto1_p;
 
net_outs_p: process (net_stall_in, net_empty, net_out_cd, net_out_cd_r)
net_outs_p : process (net_empty, net_out_cd, net_out_cd_r, net_stall_in,
out_len, out_len_r)
begin -- process net_outs_p
if net_stall_in = '1' then
net_cmd_out <= net_out_cd_r(cmd_width_g+data_width_g-1 downto
data_width_g);
net_data_out <= net_out_cd_r(data_width_g-1 downto 0);
net_len_out <= out_len_r; -- 2012-05-04
elsif net_empty = '1' then
net_cmd_out <= (others => '0');
net_cmd_out <= (others => '0');
net_data_out <= (others => '0');
net_len_out <= (others => '0'); -- 2012-05-04
else
net_cmd_out <= net_out_cd(cmd_width_g+data_width_g-1 downto
data_width_g);
net_data_out <= net_out_cd(data_width_g-1 downto 0);
net_len_out <= out_len; -- 2012-05-04
end if;
end process net_outs_p;
 
---------------------------------------------------------------------------
-- FROM NET TO IP
---------------------------------------------------------------------------
176,7 → 209,7
fifo_net2ip : entity work.fifo_2clk
generic map (
data_width_g => cmd_width_g+data_width_g,
depth_g => 4)
depth_g => fifo_depth_g)
port map (
rst_n => rst_n,
 
190,7 → 223,7
data_out => ip_out_cd,
empty_out => ip_empty);
 
sto2_p: process (clk_ip, rst_n)
sto2_p : process (clk_ip, rst_n)
begin -- process sto1_p
if rst_n = '0' then -- asynchronous reset (active low)
ip_out_cd_r <= (others => '0');
197,11 → 230,11
elsif clk_ip'event and clk_ip = '1' then -- rising clock edge
if ip_stall_in = '0' and ip_empty = '0' then
ip_out_cd_r <= ip_out_cd;
end if;
end if;
end if;
end process sto2_p;
 
ip_outs_p: process (ip_stall_in, ip_empty, ip_out_cd, ip_out_cd_r)
ip_outs_p : process (ip_empty, ip_out_cd, ip_out_cd_r, ip_stall_in)
begin -- process net_outs_p
if ip_stall_in = '1' then
ip_cmd_out <= ip_out_cd_r(cmd_width_g+data_width_g-1 downto
208,11 → 241,11
data_width_g);
ip_data_out <= ip_out_cd_r(data_width_g-1 downto 0);
elsif ip_empty = '1' then
ip_cmd_out <= (others => '0');
ip_cmd_out <= (others => '0');
ip_data_out <= (others => '0');
else
ip_cmd_out <= ip_out_cd(cmd_width_g+data_width_g-1 downto
data_width_g);
data_width_g);
ip_data_out <= ip_out_cd(data_width_g-1 downto 0);
end if;
end process ip_outs_p;
/ip.hwp.communication/ase_mesh1/1.0/vhd/ase_mesh1_pkt_codec.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen
-- Company :
-- Created : 2011-09-25
-- Last update: 2011-12-02
-- Last update: 2012-06-14
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
27,17 → 27,18
entity ase_mesh1_pkt_codec is
generic (
data_width_g : positive; -- in bits
cmd_width_g : positive; -- in bits
agents_g : positive; -- num of terminals
cols_g : positive; -- #terminals in x dimension
rows_g : positive; -- #terminals in y dimension
agent_ports_g : positive;
addr_flit_en_g : natural;
address_mode_g : natural;
clock_mode_g : natural;
rip_addr_g : natural;
fifo_depth_g : natural);
data_width_g : positive; -- in bits
cmd_width_g : positive; -- in bits
agents_g : positive; -- num of terminals
cols_g : positive; -- #terminals in x dimension
rows_g : positive; -- #terminals in y dimension
agent_ports_g : positive;
addr_flit_en_g : natural;
address_mode_g : natural;
clock_mode_g : natural;
rip_addr_g : natural;
ni_fifo_depth_g : natural;
link_fifo_depth_g : natural);
 
port (
clk_ip : in std_logic;
47,9 → 48,9
data_in : in std_logic_vector(agents_g * data_width_g - 1 downto 0);
stall_out : out std_logic_vector(agents_g - 1 downto 0);
 
cmd_out : out std_logic_vector(agents_g * cmd_width_g - 1 downto 0);
data_out : out std_logic_vector(agents_g * data_width_g - 1 downto 0);
stall_in : in std_logic_vector(agents_g - 1 downto 0)
cmd_out : out std_logic_vector(agents_g * cmd_width_g - 1 downto 0);
data_out : out std_logic_vector(agents_g * data_width_g - 1 downto 0);
stall_in : in std_logic_vector(agents_g - 1 downto 0)
);
 
end entity ase_mesh1_pkt_codec;
59,7 → 60,7
architecture structural of ase_mesh1_pkt_codec is
 
constant noc_type_g : natural := 1;
 
-----------------------------------------------------------------------------
-- MESH
-----------------------------------------------------------------------------
79,64 → 80,68
-----------------------------------------------------------------------------
noc_top_1 : entity work.ase_mesh1
generic map (
n_rows_g => rows_g,
n_cols_g => cols_g,
cmd_width_g => cmd_width_g,
bus_width_g => data_width_g
n_rows_g => rows_g,
n_cols_g => cols_g,
cmd_width_g => cmd_width_g,
bus_width_g => data_width_g,
fifo_depth_g => link_fifo_depth_g
)
port map (
clk => clk_net,
rst_n => rst_n,
clk => clk_net,
rst_n => rst_n,
 
cmd_in => cmd_to_n,
data_in => data_to_n,
stall_out => stall_from_n,
 
cmd_out => cmd_from_n,
data_out => data_from_n,
stall_in => stall_to_n
cmd_out => cmd_from_n,
data_out => data_from_n,
stall_in => stall_to_n
);
 
 
 
-----------------------------------------------------------------------------
-- GENERATE MESH
-----------------------------------------------------------------------------
-- GENERATE PKT_CODEC_MK2s
-----------------------------------------------------------------------------
codecs_g : for i in 0 to agents_g-1 generate
 
packet_codec_1 : entity work.pkt_codec_mk2
generic map (
my_id_g => i,
data_width_g => data_width_g,
cmd_width_g => cmd_width_g,
agents_g => agents_g,
cols_g => cols_g,
rows_g => rows_g,
my_id_g => i,
data_width_g => data_width_g,
cmd_width_g => cmd_width_g,
agents_g => agents_g,
cols_g => cols_g,
rows_g => rows_g,
 
agent_ports_g => agent_ports_g,
addr_flit_en_g => addr_flit_en_g,
address_mode_g => address_mode_g,
clock_mode_g => clock_mode_g,
rip_addr_g => rip_addr_g,
noc_type_g => noc_type_g
noc_type_g => noc_type_g,
fifo_depth_g => ni_fifo_depth_g
)
port map (
clk_ip => clk_ip,
clk_net => clk_net,
rst_n => rst_n,
clk_ip => clk_ip,
clk_net => clk_net,
rst_n => rst_n,
-- IP side in/out
ip_cmd_out => cmd_out((i+1)*cmd_width_g-1 downto i*cmd_width_g),
ip_data_out => data_out((i+1)*data_width_g-1 downto i*data_width_g),
ip_stall_in => stall_in(i),
ip_cmd_out => cmd_out((i+1)*cmd_width_g-1 downto i*cmd_width_g),
ip_data_out => data_out((i+1)*data_width_g-1 downto i*data_width_g),
ip_stall_in => stall_in(i),
 
ip_cmd_in => cmd_in((i+1)*cmd_width_g-1 downto i*cmd_width_g),
ip_data_in => data_in((i+1)*data_width_g-1 downto i*data_width_g),
ip_stall_out => stall_out(i),
ip_cmd_in => cmd_in((i+1)*cmd_width_g-1 downto i*cmd_width_g),
ip_data_in => data_in((i+1)*data_width_g-1 downto i*data_width_g),
ip_stall_out => stall_out(i),
 
ip_len_in => (others => '0'),
 
-- NoC side out/in
net_cmd_out => cmd_to_n((i+1)*cmd_width_g-1 downto i*cmd_width_g),
net_data_out => data_to_n((i+1)*data_width_g-1 downto i*data_width_g),
net_stall_in => stall_from_n(i),
net_cmd_out => cmd_to_n((i+1)*cmd_width_g-1 downto i*cmd_width_g),
net_data_out => data_to_n((i+1)*data_width_g-1 downto i*data_width_g),
net_stall_in => stall_from_n(i),
 
net_cmd_in => cmd_from_n((i+1)*cmd_width_g-1 downto i*cmd_width_g),
net_data_in => data_from_n((i+1)*data_width_g-1 downto i*data_width_g),
/ip.hwp.communication/ase_mesh1/1.0/vhd/ase_mesh1.vhd
6,7 → 6,7
-- Author : Lasse Lehtonen (ase)
-- Company :
-- Created : 2010-06-14
-- Last update: 2011-12-02
-- Last update: 2012-06-14
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
25,23 → 25,24
entity ase_mesh1 is
 
generic (
n_rows_g : positive := 4; -- Nuber of rows
n_cols_g : positive := 4; -- Nuber of columns
n_rows_g : positive := 4; -- Number of rows
n_cols_g : positive := 4; -- Number of columns
cmd_width_g : positive := 2; -- Width of the cmd line in bits
bus_width_g : positive := 32 -- Width of the data bus in bits
bus_width_g : positive := 32; -- Width of the data bus in bits
fifo_depth_g : natural := 4
);
port (
clk : in std_logic;
rst_n : in std_logic;
clk : in std_logic;
rst_n : in std_logic;
 
data_in : in std_logic_vector(n_rows_g*n_cols_g*bus_width_g-1 downto 0);
cmd_in : in std_logic_vector(n_rows_g*n_cols_g*cmd_width_g-1 downto 0);
stall_out : out std_logic_vector(n_rows_g*n_cols_g-1 downto 0);
data_out : out std_logic_vector(n_rows_g*n_cols_g*bus_width_g-1 downto 0);
cmd_out : out std_logic_vector(n_rows_g*n_cols_g*cmd_width_g-1 downto 0);
stall_in : in std_logic_vector(n_rows_g*n_cols_g-1 downto 0));
 
data_out : out std_logic_vector(n_rows_g*n_cols_g*bus_width_g-1 downto 0);
cmd_out : out std_logic_vector(n_rows_g*n_cols_g*cmd_width_g-1 downto 0);
stall_in : in std_logic_vector(n_rows_g*n_cols_g-1 downto 0));
 
end entity ase_mesh1;
 
 
48,37 → 49,37
architecture structural of ase_mesh1 is
 
-- row data
-- All signals amed as <source><destination>name,
-- All signals named as <source><destination>name,
-- e.g. sn_data means "data going from south to north"
type r_data_type is array (0 to n_rows_g) of
type r_data_type is array (0 to n_rows_g*2-1) of
std_logic_vector(n_cols_g*bus_width_g-1 downto 0);
type r_bit_type is array (0 to n_rows_g) of
type r_cmd_type is array (0 to n_rows_g*2-1) of
std_logic_vector(2*n_cols_g-1 downto 0);
type r_bit_type is array (0 to n_rows_g*2-1) of
std_logic_vector(n_cols_g-1 downto 0);
signal sn_data : r_data_type;
signal sn_av : r_bit_type;
signal sn_da : r_bit_type;
signal sn_cmd : r_cmd_type;
signal sn_stall : r_bit_type;
 
signal ns_data : r_data_type;
signal ns_av : r_bit_type;
signal ns_da : r_bit_type;
signal ns_cmd : r_cmd_type;
signal ns_stall : r_bit_type;
 
-- col data
type c_data_type is array (0 to n_cols_g) of
type c_data_type is array (0 to n_cols_g*2-1) of
std_logic_vector(n_rows_g*bus_width_g-1 downto 0);
type c_bit_type is array (0 to n_cols_g) of
type c_cmd_type is array (0 to n_cols_g*2-1) of
std_logic_vector(2*n_rows_g-1 downto 0);
type c_bit_type is array (0 to n_cols_g*2-1) of
std_logic_vector(n_rows_g-1 downto 0);
signal ew_data : c_data_type;
signal ew_av : c_bit_type;
signal ew_da : c_bit_type;
signal ew_cmd : c_cmd_type;
signal ew_stall : c_bit_type;
 
signal we_data : c_data_type;
signal we_av : c_bit_type;
signal we_da : c_bit_type;
signal we_cmd : c_cmd_type;
signal we_stall : c_bit_type;
 
85,25 → 86,21
begin -- architecture structural
 
-- De-activate the signals "coming from outside"
ns_data(0) <= (others => '0');
ns_av(0) <= (others => '0');
ns_da(0) <= (others => '0');
ns_stall(n_rows_g) <= (others => '0');
ns_data(0) <= (others => '0');
ns_cmd(0) <= (others => '0');
ns_stall(n_rows_g*2-1) <= (others => '0');
 
we_data(0) <= (others => '0');
we_av(0) <= (others => '0');
we_da(0) <= (others => '0');
we_stall(n_cols_g) <= (others => '0');
we_data(0) <= (others => '0');
we_cmd(0) <= (others => '0');
we_stall(n_cols_g*2-1) <= (others => '0');
 
sn_data(n_rows_g) <= (others => '0');
sn_av(n_rows_g) <= (others => '0');
sn_da(n_rows_g) <= (others => '0');
sn_stall(0) <= (others => '0');
sn_data(n_rows_g*2-1) <= (others => '0');
sn_cmd(n_rows_g*2-1) <= (others => '0');
sn_stall(0) <= (others => '0');
 
ew_data(n_cols_g) <= (others => '0');
ew_av(n_cols_g) <= (others => '0');
ew_da(n_cols_g) <= (others => '0');
ew_stall(0) <= (others => '0');
ew_data(n_cols_g*2-1) <= (others => '0');
ew_cmd(n_cols_g*2-1) <= (others => '0');
ew_stall(0) <= (others => '0');
 
 
-- Instantiate rows*cols routers
110,6 → 107,69
row : for r in 0 to n_rows_g-1 generate
col : for c in 0 to n_cols_g-1 generate
 
 
col_fifo : if r < n_rows_g-1 generate
ns_link_fifo : entity work.link_fifo
generic map (
cmd_width_g => cmd_width_g,
data_width_g => bus_width_g,
depth_g => fifo_depth_g)
port map (
clk => clk,
rst_n => rst_n,
cmd_in => ns_cmd(r*2+1)(c*2+1 downto c*2),
data_in => ns_data(r*2+1)((c+1)*bus_width_g-1 downto c*bus_width_g),
stall_out => ns_stall(r*2+1)(c),
cmd_out => ns_cmd((r+1)*2)(c*2+1 downto c*2),
data_out => ns_data((r+1)*2)((c+1)*bus_width_g-1 downto c*bus_width_g),
stall_in => ns_stall((r+1)*2)(c));
sn_link_fifo : entity work.link_fifo
generic map (
cmd_width_g => cmd_width_g,
data_width_g => bus_width_g,
depth_g => fifo_depth_g)
port map (
clk => clk,
rst_n => rst_n,
cmd_in => sn_cmd((r+1)*2)(c*2+1 downto c*2),
data_in => sn_data((r+1)*2)((c+1)*bus_width_g-1 downto c*bus_width_g),
stall_out => sn_stall((r+1)*2)(c),
cmd_out => sn_cmd((r)*2+1)(c*2+1 downto c*2),
data_out => sn_data((r)*2+1)((c+1)*bus_width_g-1 downto c*bus_width_g),
stall_in => sn_stall((r)*2+1)(c));
end generate col_fifo;
 
row_fifo : if c < n_cols_g-1 generate
we_link_fifo : entity work.link_fifo
generic map (
cmd_width_g => cmd_width_g,
data_width_g => bus_width_g,
depth_g => fifo_depth_g)
port map (
clk => clk,
rst_n => rst_n,
cmd_in => we_cmd(c*2+1)(r*2+1 downto r*2),
data_in => we_data(c*2+1)((r+1)*bus_width_g-1 downto r*bus_width_g),
stall_out => we_stall(c*2+1)(r),
cmd_out => we_cmd((c+1)*2)(r*2+1 downto r*2),
data_out => we_data((c+1)*2)((r+1)*bus_width_g-1 downto r*bus_width_g),
stall_in => we_stall((c+1)*2)(r));
ew_link_fifo : entity work.link_fifo
generic map (
cmd_width_g => cmd_width_g,
data_width_g => bus_width_g,
depth_g => fifo_depth_g)
port map (
clk => clk,
rst_n => rst_n,
cmd_in => ew_cmd((c+1)*2)(r*2+1 downto r*2),
data_in => ew_data((c+1)*2)((r+1)*bus_width_g-1 downto r*bus_width_g),
stall_out => ew_stall((c+1)*2)(r),
cmd_out => ew_cmd((c)*2+1)(r*2+1 downto r*2),
data_out => ew_data((c)*2+1)((r+1)*bus_width_g-1 downto r*bus_width_g),
stall_in => ew_stall((c)*2+1)(r));
end generate row_fifo;
 
i_router : entity work.ase_mesh1_router(rtl)
generic map (
n_rows_g => n_rows_g,
130,41 → 190,41
a_av_out => cmd_out(2*((r*n_cols_g)+c)),
a_stall_in => stall_in((r*n_cols_g)+c),
 
n_data_in => ns_data(r)((c+1)*bus_width_g-1 downto c*bus_width_g),
n_da_in => ns_da(r)(c),
n_av_in => ns_av(r)(c),
n_stall_out => ns_stall(r)(c),
n_data_out => sn_data(r)((c+1)*bus_width_g-1 downto c*bus_width_g),
n_da_out => sn_da(r)(c),
n_av_out => sn_av(r)(c),
n_stall_in => sn_stall(r)(c),
n_data_in => ns_data(r*2)((c+1)*bus_width_g-1 downto c*bus_width_g),
n_da_in => ns_cmd(r*2)(c*2),
n_av_in => ns_cmd(r*2)(c*2+1),
n_stall_out => ns_stall(r*2)(c),
n_data_out => sn_data(r*2)((c+1)*bus_width_g-1 downto c*bus_width_g),
n_da_out => sn_cmd(r*2)(c*2),
n_av_out => sn_cmd(r*2)(c*2+1),
n_stall_in => sn_stall(r*2)(c),
 
e_data_in => ew_data(c+1)((r+1)*bus_width_g-1 downto r*bus_width_g),
e_da_in => ew_da(c+1)(r),
e_av_in => ew_av(c+1)(r),
e_stall_out => ew_stall(c+1)(r),
e_data_out => we_data(c+1)((r+1)*bus_width_g-1 downto r*bus_width_g),
e_da_out => we_da(c+1)(r),
e_av_out => we_av(c+1)(r),
e_stall_in => we_stall(c+1)(r),
e_data_in => ew_data(c*2+1)((r+1)*bus_width_g-1 downto r*bus_width_g),
e_da_in => ew_cmd(c*2+1)(r*2),
e_av_in => ew_cmd(c*2+1)(r*2+1),
e_stall_out => ew_stall(c*2+1)(r),
e_data_out => we_data(c*2+1)((r+1)*bus_width_g-1 downto r*bus_width_g),
e_da_out => we_cmd(c*2+1)(r*2),
e_av_out => we_cmd(c*2+1)(r*2+1),
e_stall_in => we_stall(c*2+1)(r),
 
s_data_in => sn_data(r+1)((c+1)*bus_width_g-1 downto c*bus_width_g),
s_da_in => sn_da(r+1)(c),
s_av_in => sn_av(r+1)(c),
s_stall_out => sn_stall(r+1)(c),
s_data_out => ns_data(r+1)((c+1)*bus_width_g-1 downto c*bus_width_g),
s_da_out => ns_da(r+1)(c),
s_av_out => ns_av(r+1)(c),
s_stall_in => ns_stall(r+1)(c),
s_data_in => sn_data(r*2+1)((c+1)*bus_width_g-1 downto c*bus_width_g),
s_da_in => sn_cmd(r*2+1)(c*2),
s_av_in => sn_cmd(r*2+1)(c*2+1),
s_stall_out => sn_stall(r*2+1)(c),
s_data_out => ns_data(r*2+1)((c+1)*bus_width_g-1 downto c*bus_width_g),
s_da_out => ns_cmd(r*2+1)(c*2),
s_av_out => ns_cmd(r*2+1)(c*2+1),
s_stall_in => ns_stall(r*2+1)(c),
 
w_data_in => we_data(c)((r+1)*bus_width_g-1 downto r*bus_width_g),
w_da_in => we_da(c)(r),
w_av_in => we_av(c)(r),
w_stall_out => we_stall(c)(r),
w_data_out => ew_data(c)((r+1)*bus_width_g-1 downto r*bus_width_g),
w_da_out => ew_da(c)(r),
w_av_out => ew_av(c)(r),
w_stall_in => ew_stall(c)(r));
w_data_in => we_data(c*2)((r+1)*bus_width_g-1 downto r*bus_width_g),
w_da_in => we_cmd(c*2)(r*2),
w_av_in => we_cmd(c*2)(r*2+1),
w_stall_out => we_stall(c*2)(r),
w_data_out => ew_data(c*2)((r+1)*bus_width_g-1 downto r*bus_width_g),
w_da_out => ew_cmd(c*2)(r*2),
w_av_out => ew_cmd(c*2)(r*2+1),
w_stall_in => ew_stall(c*2)(r));
 
end generate col;
end generate row;
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.design.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:31:26 -->
<!-- Date: 28.08.2012 -->
<!-- Time: 12:53:15 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
10,75 → 10,71
<spirit:version>3.0</spirit:version>
<spirit:componentInstances>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_3</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_2_g</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="770" y="60"/>
<kactus2:position x="770" y="240"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="160"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="80" y="100"/>
<kactus2:position x="-80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="80" y="40"/>
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="80" y="60"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="80" y="150"/>
<kactus2:position x="-80" y="200"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="160"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="140"/>
<kactus2:adHocVisible portName="debug_in" x="-80" y="220"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="240"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_3</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_3_g</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:configurableElementValues/>
<spirit:vendorExtensions>
<kactus2:position x="770" y="270"/>
<kactus2:position x="770" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="-80" y="40"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="80" y="140"/>
<kactus2:position x="80" y="100"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="80" y="40"/>
<kactus2:position x="-80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="80" y="60"/>
<kactus2:position x="80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="80" y="100"/>
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="170"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="190"/>
<kactus2:adHocVisible portName="debug_in" x="-80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
99,13 → 95,13
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="slave_1">
<kactus2:position x="-80" y="370"/>
<kactus2:position x="-80" y="360"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="slave_2">
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="slave_3">
<kactus2:position x="80" y="390"/>
<kactus2:position x="80" y="340"/>
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities/>
113,27 → 109,27
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_0</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_1_g</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_0_g</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="310"/>
<kactus2:position x="250" y="60"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="60"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="110"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="180"/>
<kactus2:position x="-80" y="120"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="70"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="40"/>
143,34 → 139,34
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="220"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_in" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="180"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
</spirit:componentInstance>
<spirit:componentInstance>
<spirit:instanceName>hibi_wrapper_r4_0</spirit:instanceName>
<spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_0_g</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_1_g</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="60"/>
<kactus2:position x="250" y="310"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="60"/>
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="80" y="40"/>
<kactus2:position x="80" y="110"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="120"/>
<kactus2:position x="-80" y="180"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="80"/>
<kactus2:position x="-80" y="70"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="40"/>
180,8 → 176,8
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="180"/>
<kactus2:adHocVisible portName="debug_in" x="-80" y="220"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
</spirit:vendorExtensions>
189,13 → 185,6
</spirit:componentInstances>
<spirit:interconnections>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_1"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
203,25 → 192,25
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_3"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave</spirit:name>
<spirit:name>hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_2"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:name>hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_master</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:name>hibi_wrapper_r4_3_bus_mMaster_to_hibi_orbus_0_master</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
231,141 → 220,148
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_2_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_3_bus_mMaster</spirit:name>
<spirit:name>hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_0"/>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
</spirit:interconnection>
<spirit:interconnection>
<spirit:name>hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1</spirit:name>
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
<spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_1"/>
</spirit:interconnection>
</spirit:interconnections>
<spirit:hierConnections>
<spirit:hierConnection spirit:interfaceRef="clo">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="410"/>
<kactus2:position x="90" y="160"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="180"/>
<kactus2:position x="120" y="180"/>
<kactus2:position x="120" y="410"/>
<kactus2:position x="60" y="410"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="160"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="90" y="310"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="450"/>
<kactus2:position x="90" y="580"/>
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="310"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="90" y="130"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="580"/>
<kactus2:position x="660" y="330"/>
<kactus2:position x="90" y="130"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="60" y="280"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="370"/>
<kactus2:position x="90" y="580"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="690" y="310"/>
<kactus2:position x="80" y="310"/>
<kactus2:position x="80" y="280"/>
<kactus2:position x="60" y="280"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="90" y="370"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="210"/>
<kactus2:position x="90" y="580"/>
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="350"/>
<kactus2:position x="90" y="340"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="120"/>
<kactus2:position x="90" y="350"/>
<kactus2:position x="660" y="350"/>
<kactus2:position x="90" y="340"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="290"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="100"/>
<kactus2:position x="90" y="290"/>
<kactus2:position x="660" y="440"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="380"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="310"/>
<kactus2:position x="90" y="380"/>
<kactus2:position x="660" y="170"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="440"/>
<kactus2:position x="90" y="250"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="330"/>
<kactus2:position x="90" y="440"/>
<kactus2:position x="140" y="350"/>
<kactus2:position x="90" y="250"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="640"/>
<kactus2:position x="90" y="190"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="640"/>
<kactus2:position x="140" y="380"/>
<kactus2:position x="90" y="190"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="clocks_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="160"/>
<kactus2:position x="90" y="610"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="160"/>
<kactus2:position x="140" y="490"/>
<kactus2:position x="90" y="610"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
380,47 → 376,49
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="670"/>
<kactus2:position x="90" y="220"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="410"/>
<kactus2:position x="90" y="670"/>
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="220"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="clocks_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="clocks"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="610"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="490"/>
<kactus2:position x="90" y="610"/>
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="190"/>
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="380"/>
<kactus2:position x="90" y="190"/>
<kactus2:position x="140" y="450"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="250"/>
<kactus2:position x="60" y="500"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="350"/>
<kactus2:position x="90" y="250"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="180"/>
<kactus2:position x="120" y="180"/>
<kactus2:position x="120" y="500"/>
<kactus2:position x="60" y="500"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
433,31 → 431,25
<kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1" kactus2:offPage="false">
<kactus2:position x="330" y="420"/>
<kactus2:position x="410" y="420"/>
<kactus2:position x="410" y="430"/>
<kactus2:position x="430" y="430"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3" kactus2:offPage="false">
<kactus2:position x="690" y="350"/>
<kactus2:position x="610" y="350"/>
<kactus2:position x="610" y="450"/>
<kactus2:position x="590" y="450"/>
<kactus2:position x="690" y="400"/>
<kactus2:position x="590" y="400"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave" kactus2:offPage="false">
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2" kactus2:offPage="false">
<kactus2:position x="690" y="100"/>
<kactus2:position x="590" y="100"/>
<kactus2:position x="690" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_master" kactus2:offPage="false">
<kactus2:position x="690" y="130"/>
<kactus2:position x="410" y="130"/>
<kactus2:position x="410" y="220"/>
<kactus2:position x="430" y="220"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="false">
<kactus2:route kactus2:connRef="hibi_wrapper_r4_3_bus_mMaster_to_hibi_orbus_0_master" kactus2:offPage="false">
<kactus2:position x="690" y="280"/>
<kactus2:position x="410" y="280"/>
<kactus2:position x="410" y="220"/>
<kactus2:position x="430" y="220"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="120"/>
<kactus2:position x="330" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
465,18 → 457,20
<kactus2:position x="350" y="350"/>
<kactus2:position x="330" y="350"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_2_bus_mMaster" kactus2:offPage="false">
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
<kactus2:position x="410" y="220"/>
<kactus2:position x="410" y="140"/>
<kactus2:position x="690" y="140"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="120"/>
<kactus2:position x="330" y="120"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_3_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
<kactus2:position x="410" y="220"/>
<kactus2:position x="410" y="310"/>
<kactus2:position x="690" y="310"/>
<kactus2:route kactus2:connRef="hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
<kactus2:position x="430" y="100"/>
<kactus2:position x="330" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1" kactus2:offPage="false">
<kactus2:position x="330" y="420"/>
<kactus2:position x="430" y="420"/>
</kactus2:route>
</kactus2:routes>
<kactus2:adHocVisibilities/>
</spirit:vendorExtensions>
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.designcfg.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:31:26 -->
<!-- Date: 28.08.2012 -->
<!-- Time: 12:53:15 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 06.07.2012 -->
<!-- Time: 14:31:26 -->
<!-- Date: 28.08.2012 -->
<!-- Time: 12:53:15 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
10,11 → 10,11
<spirit:version>3.0</spirit:version>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
26,7 → 26,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in</spirit:name>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
42,7 → 42,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in</spirit:name>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
58,7 → 58,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in</spirit:name>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
67,7 → 67,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
74,7 → 74,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in</spirit:name>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
83,7 → 83,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
90,7 → 90,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in</spirit:name>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
97,6 → 97,38
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
194,16 → 226,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
210,7 → 242,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out</spirit:name>
<spirit:name>agent_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
219,46 → 251,14
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out</spirit:name>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
267,7 → 267,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
274,7 → 274,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out</spirit:name>
<spirit:name>bus_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
283,7 → 283,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
290,7 → 290,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
297,29 → 297,13
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
334,7 → 318,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:name>agent_av_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
350,7 → 334,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:name>agent_comm_out</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
366,7 → 350,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:name>agent_data_out</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
382,7 → 366,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:name>agent_empty_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
398,7 → 382,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:name>agent_full_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
414,7 → 398,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
430,7 → 414,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
442,8 → 426,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
458,7 → 442,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:name>agent_av_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
474,7 → 458,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:name>agent_comm_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
490,7 → 474,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:name>agent_data_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
506,7 → 490,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:name>agent_re_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
522,7 → 506,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:name>agent_we_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
534,16 → 518,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
550,7 → 534,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:name>rst_n</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
557,18 → 541,30
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:name>agent_clk</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
575,16 → 571,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
591,7 → 587,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
598,7 → 594,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:name>bus_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
607,7 → 603,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
614,7 → 610,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
626,16 → 622,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
642,7 → 638,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:name>agent_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
651,16 → 647,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
667,16 → 663,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:name>bus_clk_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
683,7 → 679,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
690,7 → 686,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
697,9 → 693,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
706,7 → 714,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:name>agent_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
715,7 → 723,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
722,7 → 730,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
731,7 → 739,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
738,7 → 746,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:name>bus_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
745,6 → 753,22
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
874,16 → 898,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clo</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
890,7 → 914,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk</spirit:name>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
899,16 → 923,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
915,16 → 939,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk</spirit:name>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
931,7 → 955,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
938,7 → 962,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
945,21 → 969,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
966,7 → 978,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_1</spirit:name>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
975,7 → 987,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
982,7 → 994,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
991,7 → 1003,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
998,7 → 1010,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_1</spirit:name>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1005,9 → 1017,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1014,7 → 1038,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1021,30 → 1045,18
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_2</spirit:name>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1051,16 → 1063,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1067,7 → 1079,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1074,7 → 1086,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_2</spirit:name>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1083,7 → 1095,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1090,7 → 1102,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1102,16 → 1114,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1118,7 → 1130,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_3</spirit:name>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1127,16 → 1139,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1143,16 → 1155,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_3</spirit:name>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1159,7 → 1171,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1166,7 → 1178,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1173,21 → 1185,9
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1194,7 → 1194,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>rst_n</spirit:name>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
/ip.hwp.cpu/nios_ii_sdram/hdl/nios_ii_sdram.v File deleted \ No newline at end of file
/ip.hwp.cpu/nios_ii_sdram/hdl/nios_ii_sdram.qip File deleted \ No newline at end of file
/ip.hwp.cpu/nios_ii_sram/1.0/hdl/nios_ii_sram.qip File deleted \ No newline at end of file
/ip.hwp.cpu/nios_ii_sram/1.0/hdl/nios_ii_sram.v File deleted \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.