OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk/TUT
    from Rev 148 to Rev 149
    Reverse comparison

Rev 148 → Rev 149

/ip.hwp.communication/hibi/3.0/vhd/hibi_segment.vhd
1,7 → 1,7
-- ***************************************************
-- File: hibi_segment.vhd
-- Creation date: 02.07.2012
-- Creation time: 15:39:00
-- Creation date: 21.11.2012
-- Creation time: 16:02:46
-- Description:
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
16,10 → 16,14
entity hibi_segment is
 
generic (
hibi_addr_0_g : integer := 16#01000000#; -- HIBI address for interface 0
hibi_addr_1_g : integer := 16#03000000#; -- HIBI address for interface 1
hibi_addr_2_g : integer := 16#05000000#; -- HIBI address for interface 2
hibi_addr_3_g : integer := 16#07000000# -- HIBI address for interface 3
ip_mslave_0_addr_end : integer := 2; -- HIBI end address for interface 0
ip_mslave_0_addr_start : integer := 1; -- HIBI address for interface 0
ip_mslave_1_addr_end : integer := 4; -- HIBI end address for interface 1
ip_mslave_1_addr_start : integer := 3; -- HIBI address for interface 1
ip_mslave_2_addr_end : integer := 6; -- HIBI end address for interface 2
ip_mslave_2_addr_start : integer := 5; -- HIBI address for interface 2
ip_mslave_3_addr_end : integer := 8; -- HIBI end address for interface 3
ip_mslave_3_addr_start : integer := 7 -- HIBI address for interface 3
);
 
port (
136,29 → 140,29
 
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV : std_logic;
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1AV : std_logic;
signal hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV : std_logic;
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2AV : std_logic;
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3AV : std_logic;
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV : std_logic;
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV : std_logic;
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2COMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3COMM : std_logic_vector(4 downto 0);
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM : std_logic_vector(4 downto 0);
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2DATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3DATA : std_logic_vector(31 downto 0);
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA : std_logic_vector(31 downto 0);
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL : std_logic;
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL : std_logic;
signal hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL : std_logic;
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL : std_logic;
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL : std_logic;
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL : std_logic;
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL : std_logic;
signal hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK : std_logic;
signal hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK : std_logic;
signal hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK : std_logic;
signal hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK : std_logic;
signal hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK : std_logic;
signal hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK : std_logic;
signal hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK : std_logic;
 
component hibi_orbus_small
generic (
223,7 → 227,7
comm_width_g : integer := 5; -- HIBI communication width (HIBI v.2=3, v.3 = 5 bits)
counter_width_g : integer := 7; -- greater than or equal (n_agents, max_send...)
data_width_g : integer := 32; -- HIBI data width (less than or equal)
debug_width_g : integer := 0; -- For special monitors
debug_width_g : integer := 2; -- For special monitors
fifo_sel_g : integer := 0; -- fifo_sel: 0 synch multiclk, 1 basic GALS, 2 Gray FIFO (depth=2^n!), 3 mixed clock pausible ( use 0 for synchronous systems)
id_g : integer := 5; -- used instead of addr in recfg
id_max_g : integer := 0; -- Only for bridges+cfg, zero for others!
233,7 → 237,7
keep_slot_g : integer := 0; -- for TDMA
max_send_g : integer := 50; -- in words. Max_send can be wrapper-specific.
n_agents_g : integer := 4; -- Number of agents within one segment. Ensure that all wrappers in a segment agree on n_agents
n_cfg_pages_g : integer := 0; -- Having multiple pages allows fast reconfig. Note that cfg memory initialization is done with separate package if you have many time slots or configuration pages
n_cfg_pages_g : integer := 1; -- Having multiple pages allows fast reconfig. Note that cfg memory initialization is done with separate package if you have many time slots or configuration pages
n_extra_params_g : integer := 0; -- app-specific registers
n_time_slots_g : integer := 0; -- TDMA is enabled by setting n_time_slots > 0
prior_g : integer := 2; -- lte n_agents
289,7 → 293,7
agent_one_p_out : out std_logic;
 
-- These ports are not in any interface
debug_in : in std_logic_vector(0 downto 0);
debug_in : in std_logic_vector(1 downto 0);
-- debug_out : out std_logic_vector(0 downto 0);
 
-- Interface: rst_n
315,34 → 319,35
port map (
bus_av_0_in => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV,
bus_av_1_in => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1AV,
bus_av_2_in => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV,
bus_av_2_in => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2AV,
bus_av_3_in => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3AV,
bus_av_out => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_comm_0_in(4 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_comm_1_in(4 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1COMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2COMM(4 downto 0),
bus_comm_3_in(4 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3COMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_data_0_in(31 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_data_1_in(31 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1DATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2DATA(31 downto 0),
bus_data_3_in(31 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3DATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_full_0_in => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_full_1_in => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL,
bus_full_2_in => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
bus_full_2_in => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL,
bus_full_3_in => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL,
bus_full_out => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_lock_0_in => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_lock_1_in => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK,
bus_lock_2_in => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
bus_lock_2_in => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK,
bus_lock_3_in => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK,
bus_lock_out => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK
bus_lock_out => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK
);
 
hibi_wrapper_r4_0 : hibi_wrapper_r4
generic map (
addr_g => hibi_addr_0_g
addr_g => ip_mSlave_0_addr_start,
addr_limit_g => ip_mSlave_0_addr_end
)
port map (
agent_av_in => agent_av_in,
359,16 → 364,16
agent_re_in => agent_re_in,
agent_sync_clk => agent_sync_clk,
agent_we_in => agent_we_in,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveAV,
bus_clk => bus_clk,
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_out => hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk,
debug_in => (others => '0'),
377,7 → 382,8
 
hibi_wrapper_r4_1 : hibi_wrapper_r4
generic map (
addr_g => hibi_addr_1_g
addr_g => ip_mSlave_1_addr_start,
addr_limit_g => ip_mSlave_1_addr_end
)
port map (
agent_av_in => agent_av_in_1,
394,16 → 400,16
agent_re_in => agent_re_in_1,
agent_sync_clk => agent_sync_clk_1,
agent_we_in => agent_we_in_1,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1AV,
bus_clk => bus_clk_1,
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1DATA(31 downto 0),
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1FULL,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_out => hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1LOCK,
bus_sync_clk => bus_sync_clk_1,
debug_in => (others => '0'),
412,7 → 418,8
 
hibi_wrapper_r4_2 : hibi_wrapper_r4
generic map (
addr_g => hibi_addr_2_g
addr_g => ip_mSlave_2_addr_start,
addr_limit_g => ip_mSlave_2_addr_end
)
port map (
agent_av_in => agent_av_in_2,
429,17 → 436,17
agent_re_in => agent_re_in_2,
agent_sync_clk => agent_sync_clk_2,
agent_we_in => agent_we_in_2,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_out => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2AV,
bus_clk => bus_clk_2,
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_out => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2DATA(31 downto 0),
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2FULL,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_out => hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2LOCK,
bus_sync_clk => bus_sync_clk_2,
debug_in => (others => '0'),
rst_n => rst_n
447,7 → 454,8
 
hibi_wrapper_r4_3 : hibi_wrapper_r4
generic map (
addr_g => hibi_addr_3_g
addr_g => ip_mSlave_3_addr_start,
addr_limit_g => ip_mSlave_3_addr_end
)
port map (
agent_av_in => agent_av_in_3,
464,16 → 472,16
agent_re_in => agent_re_in_3,
agent_sync_clk => agent_sync_clk_3,
agent_we_in => agent_we_in_3,
bus_av_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterAV,
bus_av_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterAV,
bus_av_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3AV,
bus_clk => bus_clk_3,
bus_comm_in(4 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterCOMM(4 downto 0),
bus_comm_in(4 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3COMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterDATA(31 downto 0),
bus_data_in(31 downto 0) => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3DATA(31 downto 0),
bus_full_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterFULL,
bus_full_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterFULL,
bus_full_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3FULL,
bus_lock_in => hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMasterLOCK,
bus_lock_in => hibi_wrapper_r4_2_bus_mMaster_to_hibi_orbus_0_masterLOCK,
bus_lock_out => hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3LOCK,
bus_sync_clk => bus_sync_clk_3,
debug_in => (others => '0'),
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.design.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 28.08.2012 -->
<!-- Time: 12:53:15 -->
<!-- Date: 21.11.2012 -->
<!-- Time: 16:02:34 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
14,7 → 14,10
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_3_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_3_addr_end</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="240"/>
<kactus2:portPositions>
22,7 → 25,7
<kactus2:position x="-80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="bus_mSlave">
<kactus2:position x="-80" y="160"/>
<kactus2:position x="-80" y="170"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="90"/>
38,7 → 41,6
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="220"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="240"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
49,7 → 51,10
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="60"/>
<kactus2:portPositions>
73,7 → 78,6
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="130"/>
<kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
114,7 → 118,8
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_0_g</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_0_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_0_addr_end</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="60"/>
139,7 → 144,6
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="200"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="180"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
151,10 → 155,11
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">hibi_addr_1_g</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="310"/>
<kactus2:position x="250" y="290"/>
<kactus2:portPositions>
<kactus2:portPosition kactus2:busRef="bus_mMaster">
<kactus2:position x="80" y="40"/>
176,7 → 181,6
</kactus2:portPosition>
</kactus2:portPositions>
<kactus2:adHocVisibilities>
<kactus2:adHocVisible portName="debug_in" x="-80" y="220"/>
<kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
</kactus2:adHocVisibilities>
<kactus2:propertyValues/>
245,11 → 249,11
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="160"/>
<kactus2:position x="90" y="400"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="160"/>
<kactus2:position x="90" y="400"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
256,11 → 260,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="310"/>
<kactus2:position x="90" y="530"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="310"/>
<kactus2:position x="90" y="530"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
267,11 → 271,11
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="130"/>
<kactus2:position x="90" y="160"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="330"/>
<kactus2:position x="90" y="130"/>
<kactus2:position x="90" y="160"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
278,13 → 282,13
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="280"/>
<kactus2:position x="60" y="340"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="690" y="310"/>
<kactus2:position x="80" y="310"/>
<kactus2:position x="80" y="280"/>
<kactus2:position x="60" y="280"/>
<kactus2:position x="80" y="340"/>
<kactus2:position x="60" y="340"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
291,11 → 295,11
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="370"/>
<kactus2:position x="90" y="460"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="370"/>
<kactus2:position x="90" y="460"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
302,11 → 306,11
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="340"/>
<kactus2:position x="90" y="430"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="350"/>
<kactus2:position x="90" y="340"/>
<kactus2:position x="90" y="430"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
335,11 → 339,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="250"/>
<kactus2:position x="90" y="280"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="350"/>
<kactus2:position x="90" y="250"/>
<kactus2:position x="140" y="330"/>
<kactus2:position x="90" y="280"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
349,7 → 353,7
<kactus2:position x="90" y="190"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="380"/>
<kactus2:position x="140" y="360"/>
<kactus2:position x="90" y="190"/>
</kactus2:route>
</spirit:vendorExtensions>
360,7 → 364,7
<kactus2:position x="90" y="610"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="490"/>
<kactus2:position x="140" y="470"/>
<kactus2:position x="90" y="610"/>
</kactus2:route>
</spirit:vendorExtensions>
379,11 → 383,11
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="220"/>
<kactus2:position x="90" y="370"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="140"/>
<kactus2:position x="90" y="220"/>
<kactus2:position x="90" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
404,7 → 408,7
<kactus2:position x="90" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="450"/>
<kactus2:position x="140" y="430"/>
<kactus2:position x="90" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
432,7 → 436,9
</kactus2:columnLayout>
<kactus2:routes>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_3_bus_mSlave_to_hibi_orbus_0_slave_3" kactus2:offPage="false">
<kactus2:position x="690" y="400"/>
<kactus2:position x="690" y="410"/>
<kactus2:position x="610" y="410"/>
<kactus2:position x="610" y="400"/>
<kactus2:position x="590" y="400"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_2_bus_mSlave_to_hibi_orbus_0_slave_2" kactus2:offPage="false">
454,8 → 460,8
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
<kactus2:position x="350" y="220"/>
<kactus2:position x="350" y="350"/>
<kactus2:position x="330" y="350"/>
<kactus2:position x="350" y="330"/>
<kactus2:position x="330" y="330"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="false">
<kactus2:position x="430" y="220"/>
468,7 → 474,9
<kactus2:position x="330" y="100"/>
</kactus2:route>
<kactus2:route kactus2:connRef="hibi_wrapper_r4_1_bus_mSlave_to_hibi_orbus_0_slave_1" kactus2:offPage="false">
<kactus2:position x="330" y="420"/>
<kactus2:position x="330" y="400"/>
<kactus2:position x="410" y="400"/>
<kactus2:position x="410" y="420"/>
<kactus2:position x="430" y="420"/>
</kactus2:route>
</kactus2:routes>
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.designcfg.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 28.08.2012 -->
<!-- Time: 12:53:15 -->
<!-- Date: 21.11.2012 -->
<!-- Time: 16:02:34 -->
<spirit:designConfiguration xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 28.08.2012 -->
<!-- Time: 12:53:15 -->
<!-- Date: 21.11.2012 -->
<!-- Time: 16:02:34 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
10,16 → 10,16
<spirit:version>3.0</spirit:version>
<spirit:busInterfaces>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
26,7 → 26,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:name>agent_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
35,16 → 35,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
51,16 → 51,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:name>bus_clk_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
67,7 → 67,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
74,7 → 74,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
81,9 → 81,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
90,7 → 102,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
99,16 → 111,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
115,35 → 127,23
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
150,7 → 150,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
159,16 → 159,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
175,30 → 175,14
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
207,7 → 191,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
214,7 → 198,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
226,7 → 210,7
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
242,7 → 226,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_1</spirit:name>
<spirit:name>agent_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
258,7 → 242,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
274,7 → 258,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_1</spirit:name>
<spirit:name>bus_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
290,7 → 274,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
302,8 → 286,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
318,7 → 302,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out</spirit:name>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
334,7 → 318,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out</spirit:name>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
350,7 → 334,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out</spirit:name>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
366,7 → 350,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out</spirit:name>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
382,7 → 366,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out</spirit:name>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
398,7 → 382,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
414,7 → 398,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
426,8 → 410,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
442,7 → 426,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in</spirit:name>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
458,7 → 442,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in</spirit:name>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
474,7 → 458,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in</spirit:name>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
490,7 → 474,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in</spirit:name>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
506,7 → 490,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in</spirit:name>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
518,16 → 502,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RESETn</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
534,7 → 518,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>rst_n</spirit:name>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
541,30 → 525,18
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk</spirit:name>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
571,16 → 543,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
587,7 → 559,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
594,7 → 566,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk</spirit:name>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
603,7 → 575,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
610,7 → 582,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
622,16 → 594,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_3</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:name>rst_n</spirit:name>
<spirit:description>Active low reset interface.</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>RESETn</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
638,7 → 610,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_3</spirit:name>
<spirit:name>rst_n</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
645,9 → 617,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_1</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
654,7 → 638,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_3</spirit:name>
<spirit:name>agent_av_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
663,16 → 647,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_3</spirit:name>
<spirit:name>agent_comm_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
679,35 → 663,23
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_3</spirit:name>
<spirit:name>agent_data_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_2</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:name>EMPTY</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
714,7 → 686,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_clk_2</spirit:name>
<spirit:name>agent_empty_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
723,7 → 695,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
730,7 → 702,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_sync_clk_2</spirit:name>
<spirit:name>agent_full_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
739,7 → 711,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:name>ONE_D</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
746,7 → 718,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_2</spirit:name>
<spirit:name>agent_one_d_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
755,7 → 727,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:name>ONE_P</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
762,7 → 734,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_2</spirit:name>
<spirit:name>agent_one_p_out_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
774,11 → 746,11
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_3</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface_3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_1</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 1 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
<spirit:mirroredMaster/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
790,7 → 762,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_3</spirit:name>
<spirit:name>agent_av_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
806,7 → 778,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_3</spirit:name>
<spirit:name>agent_comm_in_1</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
822,7 → 794,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_3</spirit:name>
<spirit:name>agent_data_in_1</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
831,7 → 803,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>EMPTY</spirit:name>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
838,7 → 810,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_3</spirit:name>
<spirit:name>agent_re_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
847,7 → 819,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:name>WE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
854,7 → 826,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_3</spirit:name>
<spirit:name>agent_we_in_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
861,9 → 833,21
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clocks_1</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
870,7 → 854,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_3</spirit:name>
<spirit:name>agent_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
879,7 → 863,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
886,7 → 870,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_3</spirit:name>
<spirit:name>agent_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
893,13 → 877,45
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_sync_clk_1</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mSlave_2</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 2 (r4 wrapper)</spirit:description>
<spirit:name>ip_mSlave_0</spirit:name>
<spirit:description>HIBI ip mirrored slave agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredSlave/>
914,7 → 930,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_out_2</spirit:name>
<spirit:name>agent_av_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
930,7 → 946,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_out_2</spirit:name>
<spirit:name>agent_comm_out</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
946,7 → 962,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_out_2</spirit:name>
<spirit:name>agent_data_out</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
962,7 → 978,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_empty_out_2</spirit:name>
<spirit:name>agent_empty_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
978,7 → 994,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_full_out_2</spirit:name>
<spirit:name>agent_full_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
994,7 → 1010,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_d_out_2</spirit:name>
<spirit:name>agent_one_d_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1010,7 → 1026,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_one_p_out_2</spirit:name>
<spirit:name>agent_one_p_out</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1022,8 → 1038,8
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_3</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 3 (r4 wrapper)</spirit:description>
<spirit:name>ip_mMaster_0</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 0 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
1038,7 → 1054,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_3</spirit:name>
<spirit:name>agent_av_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1054,7 → 1070,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_3</spirit:name>
<spirit:name>agent_comm_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
1070,7 → 1086,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_3</spirit:name>
<spirit:name>agent_data_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
1086,7 → 1102,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_3</spirit:name>
<spirit:name>agent_re_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1102,7 → 1118,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_3</spirit:name>
<spirit:name>agent_we_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1114,16 → 1130,16
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>ip_mMaster_2</spirit:name>
<spirit:description>HIBI ip mirrored master agent interface 2 (r4 wrapper)</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
<spirit:mirroredMaster/>
<spirit:name>clocks_0</spirit:name>
<spirit:description>Clock inputs interface for hibi wrapper_3</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="hibi_clocks.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:name>AGENT_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1130,7 → 1146,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_av_in_2</spirit:name>
<spirit:name>agent_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1139,16 → 1155,16
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:name>AGENT_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_comm_in_2</spirit:name>
<spirit:name>agent_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
1155,30 → 1171,14
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:name>BUS_CLK</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_data_in_2</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_re_in_2</spirit:name>
<spirit:name>bus_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1187,7 → 1187,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE</spirit:name>
<spirit:name>BUS_SYNC_CLK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
1194,7 → 1194,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>agent_we_in_2</spirit:name>
<spirit:name>bus_sync_clk</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
2003,45 → 2003,44
</spirit:ports>
<spirit:modelParameters>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_addr_0_g</spirit:name>
<spirit:name>ip_mslave_0_addr_start</spirit:name>
<spirit:description>HIBI address for interface 0</spirit:description>
<spirit:value>16#01000000#</spirit:value>
<spirit:value>1</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_addr_1_g</spirit:name>
<spirit:name>ip_mslave_1_addr_start</spirit:name>
<spirit:description>HIBI address for interface 1</spirit:description>
<spirit:value>16#03000000#</spirit:value>
<spirit:value>3</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_addr_2_g</spirit:name>
<spirit:name>ip_mslave_2_addr_start</spirit:name>
<spirit:description>HIBI address for interface 2</spirit:description>
<spirit:value>16#05000000#</spirit:value>
<spirit:value>5</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_addr_3_g</spirit:name>
<spirit:name>ip_mslave_3_addr_start</spirit:name>
<spirit:description>HIBI address for interface 3</spirit:description>
<spirit:value>16#07000000#</spirit:value>
<spirit:value>7</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_end_addr_0_g</spirit:name>
<spirit:name>ip_mslave_0_addr_end</spirit:name>
<spirit:description>HIBI end address for interface 0</spirit:description>
<spirit:value>16#03000000#
-1</spirit:value>
<spirit:value>2</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_end_addr_1_g</spirit:name>
<spirit:name>ip_mslave_1_addr_end</spirit:name>
<spirit:description>HIBI end address for interface 1</spirit:description>
<spirit:value>16#05000000# -1</spirit:value>
<spirit:value>4</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_end_addr_2_g</spirit:name>
<spirit:name>ip_mslave_2_addr_end</spirit:name>
<spirit:description>HIBI end address for interface 2</spirit:description>
<spirit:value>16#07000000# -1</spirit:value>
<spirit:value>6</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>hibi_end_addr_3_g</spirit:name>
<spirit:name>ip_mslave_3_addr_end</spirit:name>
<spirit:description>HIBI end address for interface 3</spirit:description>
<spirit:value>16#09000000# -1</spirit:value>
<spirit:value>8</spirit:value>
</spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
/ip.hwp.communication/hibi/3.0/ip_xact/hibi_wrapper_r4.3.0.xml
1,8 → 1,8
<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 02.07.2012 -->
<!-- Time: 13:24:30 -->
<!-- Date: 21.11.2012 -->
<!-- Time: 16:02:29 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
848,25 → 848,6
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>debug_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:wireTypeDefs>
<spirit:wireTypeDef>
<spirit:typeName spirit:constrained="false">std_logic_vector</spirit:typeName>
<spirit:typeDefinition>IEEE.std_logic_1164.all</spirit:typeDefinition>
<spirit:viewNameRef>rtl</spirit:viewNameRef>
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
<spirit:driver>
<spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions>
<kactus2:adHocVisible/>
</spirit:vendorExtensions>
</spirit:port>
<spirit:port>
<spirit:name>debug_out</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>out</spirit:direction>
893,6 → 874,27
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>debug_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>1</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:wireTypeDefs>
<spirit:wireTypeDef>
<spirit:typeName spirit:constrained="false">std_logic_vector</spirit:typeName>
<spirit:typeDefinition>IEEE.std_logic_1164.all</spirit:typeDefinition>
<spirit:viewNameRef>rtl</spirit:viewNameRef>
</spirit:wireTypeDef>
</spirit:wireTypeDefs>
<spirit:driver>
<spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
</spirit:ports>
<spirit:modelParameters>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
943,7 → 945,7
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>debug_width_g</spirit:name>
<spirit:description>For special monitors</spirit:description>
<spirit:value>0</spirit:value>
<spirit:value>2</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>fifo_sel_g</spirit:name>
993,7 → 995,7
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>n_cfg_pages_g</spirit:name>
<spirit:description>Having multiple pages allows fast reconfig. Note that cfg memory initialization is done with separate package if you have many time slots or configuration pages</spirit:description>
<spirit:value>0</spirit:value>
<spirit:value>1</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>n_extra_params_g</spirit:name>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.