OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library/trunk
    from Rev 161 to Rev 162
    Reverse comparison

Rev 161 → Rev 162

/TUT/ip.hwp.communication/hibi/3.0/vhd/hibi_segment_6p.vhd
1,7 → 1,7
-- ***************************************************
-- File: hibi_segment_6p.vhd
-- Creation date: 12.02.2013
-- Creation time: 10:29:59
-- Creation date: 28.02.2013
-- Creation time: 13:35:47
-- Description:
-- Created by: matilail
-- This file was generated with Kactus2 vhdl generator.
23,7 → 23,11
ip_mslave_2_addr_end : integer := 6; -- HIBI end address for interface 2
ip_mslave_2_addr_start : integer := 5; -- HIBI address for interface 2
ip_mslave_3_addr_end : integer := 8; -- HIBI end address for interface 3
ip_mslave_3_addr_start : integer := 7 -- HIBI address for interface 3
ip_mslave_3_addr_start : integer := 7; -- HIBI address for interface 3
ip_mslave_4_addr_end : integer := 10; -- HIBI end address for interface 3
ip_mslave_4_addr_start : integer := 9; -- HIBI address for interface 3
ip_mslave_5_addr_end : integer := 12; -- HIBI end address for interface 3
ip_mslave_5_addr_start : integer := 11 -- HIBI address for interface 3
);
 
port (
186,26 → 190,36
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM : std_logic_vector(4 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA : std_logic_vector(31 downto 0);
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL : std_logic;
signal hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK : std_logic;
signal hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK : std_logic;
 
component hibi_orbus_6p
254,8 → 268,24
bus_comm_3_in : in std_logic_vector(4 downto 0);
bus_data_3_in : in std_logic_vector(31 downto 0);
bus_full_3_in : in std_logic;
bus_lock_3_in : in std_logic
bus_lock_3_in : in std_logic;
 
-- Interface: slave_4
-- HIBI bus <---> wrapper slave interface
bus_av_4_in : in std_logic;
bus_comm_4_in : in std_logic_vector(4 downto 0);
bus_data_4_in : in std_logic_vector(31 downto 0);
bus_full_4_in : in std_logic;
bus_lock_4_in : in std_logic;
 
-- Interface: slave_5
-- HIBI bus <---> wrapper slave interface
bus_av_5_in : in std_logic;
bus_comm_5_in : in std_logic_vector(4 downto 0);
bus_data_5_in : in std_logic_vector(31 downto 0);
bus_full_5_in : in std_logic;
bus_lock_5_in : in std_logic
 
);
end component;
 
364,26 → 394,36
bus_av_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveAV,
bus_av_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveAV,
bus_av_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveAV,
bus_av_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV,
bus_av_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV,
bus_av_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_comm_0_in(4 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveCOMM(4 downto 0),
bus_comm_1_in(4 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveCOMM(4 downto 0),
bus_comm_2_in(4 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveCOMM(4 downto 0),
bus_comm_3_in(4 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveCOMM(4 downto 0),
bus_comm_4_in(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM(4 downto 0),
bus_comm_5_in(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_data_0_in(31 downto 0) => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveDATA(31 downto 0),
bus_data_1_in(31 downto 0) => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveDATA(31 downto 0),
bus_data_2_in(31 downto 0) => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveDATA(31 downto 0),
bus_data_3_in(31 downto 0) => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveDATA(31 downto 0),
bus_data_4_in(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA(31 downto 0),
bus_data_5_in(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_full_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveFULL,
bus_full_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveFULL,
bus_full_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveFULL,
bus_full_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveFULL,
bus_full_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL,
bus_full_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL,
bus_full_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_lock_0_in => hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlaveLOCK,
bus_lock_1_in => hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlaveLOCK,
bus_lock_2_in => hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlaveLOCK,
bus_lock_3_in => hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlaveLOCK,
bus_lock_4_in => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK,
bus_lock_5_in => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK,
bus_lock_out => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK
);
 
528,6 → 568,10
);
 
hibi_wrapper_r4_4 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_4_addr_start,
addr_limit_g => ip_mSlave_4_addr_end
)
port map (
agent_av_in => agent_av_in_4,
agent_av_out => agent_av_out_4,
544,16 → 588,25
agent_sync_clk => agent_sync_clk_4,
agent_we_in => agent_we_in_4,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveAV,
bus_clk => bus_clk_4,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_4,
rst_n => rst_n
);
 
hibi_wrapper_r4_5 : hibi_wrapper_r4
generic map (
addr_g => ip_mSlave_5_addr_start,
addr_limit_g => ip_mSlave_5_addr_end
)
port map (
agent_av_in => agent_av_in_5,
agent_av_out => agent_av_out_5,
570,11 → 623,16
agent_sync_clk => agent_sync_clk_5,
agent_we_in => agent_we_in_5,
bus_av_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterAV,
bus_av_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveAV,
bus_clk => bus_clk_5,
bus_comm_in(4 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterCOMM(4 downto 0),
bus_comm_out(4 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveCOMM(4 downto 0),
bus_data_in(31 downto 0) => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterDATA(31 downto 0),
bus_data_out(31 downto 0) => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveDATA(31 downto 0),
bus_full_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterFULL,
bus_full_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveFULL,
bus_lock_in => hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMasterLOCK,
bus_lock_out => hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlaveLOCK,
bus_sync_clk => bus_sync_clk_5,
rst_n => rst_n
);
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_orbus_6p.3.0.xml
482,8 → 482,92
<spirit:description>HIBI bus &lt;---&gt; wrapper slave interface</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_bus.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_bus.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:bridge spirit:masterRef="master" spirit:opaque="false"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_av_4_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_comm_4_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_data_4_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_full_4_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>LOCK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_lock_4_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
492,8 → 576,92
<spirit:description>HIBI bus &lt;---&gt; wrapper slave interface</spirit:description>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_bus.busdef" spirit:version="3.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_bus.absdef" spirit:version="3.0"/>
<spirit:slave/>
<spirit:slave>
<spirit:bridge spirit:masterRef="master" spirit:opaque="false"/>
</spirit:slave>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>AV</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_av_5_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>COMM</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_comm_5_in</spirit:name>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>DATA</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_data_5_in</spirit:name>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>FULL</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_full_5_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>LOCK</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>bus_lock_5_in</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
</spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
813,6 → 981,142
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_lock_4_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:driver>
<spirit:defaultValue>'0'</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_lock_5_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>'0'</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_full_4_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>'0'</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_full_5_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>'0'</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_data_4_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_data_5_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>31</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_comm_4_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_comm_5_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>4</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_av_4_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>'0'</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
<spirit:port>
<spirit:name>bus_av_5_in</spirit:name>
<spirit:wire spirit:allLogicalDirectionsAllowed="false">
<spirit:direction>in</spirit:direction>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
</spirit:vector>
<spirit:driver>
<spirit:defaultValue>'0'</spirit:defaultValue>
</spirit:driver>
</spirit:wire>
<spirit:vendorExtensions/>
</spirit:port>
</spirit:ports>
<spirit:modelParameters>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.3.0.xml
1792,6 → 1792,8
<spirit:busInterfaceRef>ip_mSlave_2</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_1</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_0</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_5</spirit:busInterfaceRef>
</spirit:channel>
<spirit:channel>
<spirit:name>HIBI_channel_1</spirit:name>
1800,6 → 1802,8
<spirit:busInterfaceRef>ip_mSlave_2</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_1</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_0</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_5</spirit:busInterfaceRef>
</spirit:channel>
<spirit:channel>
<spirit:name>HIBI_channel_2</spirit:name>
1808,6 → 1812,8
<spirit:busInterfaceRef>ip_mSlave_2</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_1</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_0</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_5</spirit:busInterfaceRef>
</spirit:channel>
<spirit:channel>
<spirit:name>HIBI_channel_3</spirit:name>
1816,7 → 1822,29
<spirit:busInterfaceRef>ip_mSlave_2</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_1</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_0</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_5</spirit:busInterfaceRef>
</spirit:channel>
<spirit:channel>
<spirit:name>HIBI_channel_4</spirit:name>
<spirit:busInterfaceRef>ip_mMaster_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_3</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_2</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_1</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_0</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_5</spirit:busInterfaceRef>
</spirit:channel>
<spirit:channel>
<spirit:name>HIBI_channel_5</spirit:name>
<spirit:busInterfaceRef>ip_mMaster_5</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_3</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_2</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_1</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_0</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_4</spirit:busInterfaceRef>
<spirit:busInterfaceRef>ip_mSlave_5</spirit:busInterfaceRef>
</spirit:channel>
</spirit:channels>
<spirit:model>
<spirit:views>
3002,6 → 3030,26
<spirit:description>HIBI end address for interface 3</spirit:description>
<spirit:value>8</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>ip_mslave_4_addr_start</spirit:name>
<spirit:description>HIBI address for interface 3</spirit:description>
<spirit:value>9</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>ip_mslave_4_addr_end</spirit:name>
<spirit:description>HIBI end address for interface 3</spirit:description>
<spirit:value>10</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>ip_mslave_5_addr_end</spirit:name>
<spirit:description>HIBI end address for interface 3</spirit:description>
<spirit:value>12</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
<spirit:name>ip_mslave_5_addr_start</spirit:name>
<spirit:description>HIBI address for interface 3</spirit:description>
<spirit:value>11</spirit:value>
</spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
<spirit:fileSets>
/TUT/ip.hwp.communication/hibi/3.0/ip_xact/hibi_segment_6p.design.3.0.xml
88,7 → 88,10
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_5_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_5_addr_end</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="770" y="550"/>
<kactus2:portPositions>
231,7 → 234,10
<spirit:displayName></spirit:displayName>
<spirit:description></spirit:description>
<spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
<spirit:configurableElementValues/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_4_addr_start</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_4_addr_end</spirit:configurableElementValue>
</spirit:configurableElementValues>
<spirit:vendorExtensions>
<kactus2:position x="250" y="560"/>
<kactus2:portPositions>
242,13 → 248,13
<kactus2:position x="80" y="40"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="clocks">
<kactus2:position x="-80" y="110"/>
<kactus2:position x="-80" y="80"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mMaster">
<kactus2:position x="-80" y="190"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="ip_mSlave">
<kactus2:position x="-80" y="150"/>
<kactus2:position x="-80" y="170"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="-80" y="40"/>
351,11 → 357,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="870"/>
<kactus2:position x="90" y="890"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="690"/>
<kactus2:position x="90" y="870"/>
<kactus2:position x="90" y="890"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
362,11 → 368,11
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="900"/>
<kactus2:position x="90" y="920"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="730"/>
<kactus2:position x="90" y="900"/>
<kactus2:position x="90" y="920"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
373,11 → 379,11
<spirit:hierConnection spirit:interfaceRef="clocks_5">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="820"/>
<kactus2:position x="90" y="860"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="840"/>
<kactus2:position x="90" y="820"/>
<kactus2:position x="90" y="860"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
387,9 → 393,7
<kactus2:position x="60" y="640"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="670"/>
<kactus2:position x="80" y="670"/>
<kactus2:position x="80" y="640"/>
<kactus2:position x="170" y="640"/>
<kactus2:position x="60" y="640"/>
</kactus2:route>
</spirit:vendorExtensions>
397,13 → 401,13
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="730"/>
<kactus2:position x="60" y="770"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="750"/>
<kactus2:position x="80" y="750"/>
<kactus2:position x="80" y="730"/>
<kactus2:position x="60" y="730"/>
<kactus2:position x="80" y="770"/>
<kactus2:position x="60" y="770"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
410,13 → 414,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_4">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="60" y="700"/>
<kactus2:position x="60" y="730"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="false">
<kactus2:position x="170" y="710"/>
<kactus2:position x="80" y="710"/>
<kactus2:position x="80" y="700"/>
<kactus2:position x="60" y="700"/>
<kactus2:position x="170" y="730"/>
<kactus2:position x="60" y="730"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
423,11 → 425,11
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="630"/>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
434,11 → 436,11
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="600"/>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
445,11 → 447,11
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="440"/>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
456,11 → 458,11
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="200"/>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
500,11 → 502,11
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="250"/>
<kactus2:position x="90" y="280"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="370"/>
<kactus2:position x="90" y="250"/>
<kactus2:position x="90" y="280"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
511,11 → 513,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="340"/>
<kactus2:position x="90" y="370"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="340"/>
<kactus2:position x="90" y="340"/>
<kactus2:position x="90" y="370"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
522,11 → 524,11
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="170"/>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
533,11 → 535,11
<spirit:hierConnection spirit:interfaceRef="rst_n">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="450"/>
<kactus2:position x="90" y="760"/>
<kactus2:position x="90" y="830"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
555,11 → 557,11
<spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="550"/>
<kactus2:position x="90" y="580"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="150"/>
<kactus2:position x="90" y="550"/>
<kactus2:position x="90" y="580"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
566,11 → 568,11
<spirit:hierConnection spirit:interfaceRef="clocks_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="190"/>
<kactus2:position x="90" y="220"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="340"/>
<kactus2:position x="90" y="190"/>
<kactus2:position x="90" y="220"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
577,11 → 579,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="580"/>
<kactus2:position x="90" y="670"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="130"/>
<kactus2:position x="90" y="580"/>
<kactus2:position x="90" y="670"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
588,11 → 590,11
<spirit:hierConnection spirit:interfaceRef="clocks_2">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="490"/>
<kactus2:position x="90" y="550"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="880" y="160"/>
<kactus2:position x="90" y="490"/>
<kactus2:position x="90" y="550"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
599,11 → 601,11
<spirit:hierConnection spirit:interfaceRef="clocks_0">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="670"/>
<kactus2:position x="90" y="700"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="140" y="180"/>
<kactus2:position x="90" y="670"/>
<kactus2:position x="90" y="700"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>
610,11 → 612,11
<spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
<spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
<spirit:vendorExtensions>
<kactus2:position x="90" y="460"/>
<kactus2:position x="90" y="490"/>
<kactus2:direction x="1" y="0"/>
<kactus2:route kactus2:offPage="true">
<kactus2:position x="660" y="320"/>
<kactus2:position x="90" y="460"/>
<kactus2:position x="90" y="490"/>
</kactus2:route>
</spirit:vendorExtensions>
</spirit:hierConnection>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.