OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library
    from Rev 131 to Rev 132
    Reverse comparison

Rev 131 → Rev 132

/trunk/TUT/ip.hwp.storage/ddrx/hibi_mem_dma.comp/2.0/tb/hibi_mem_dma_perf_test_tb.vhd
238,7 → 238,7
q : out std_logic_vector(23 downto 0));
end component;
 
component a2_ddr2_dimm_1GB
component alt_ddr2_agx2
port (
local_address : in std_logic_vector(MEM_ADDR_WIDTH-1 downto 0);
local_write_req : in std_logic;
264,7 → 264,7
mem_we_n : out std_logic;
mem_dm : out std_logic_vector(7 downto 0);
local_refresh_ack : out std_logic;
local_wdata_req : out std_logic;
-- local_wdata_req : out std_logic;
local_init_done : out std_logic;
reset_phy_clk_n : out std_logic;
dll_reference_clk : out std_logic;
617,7 → 617,7
 
gen_1 : if ENABLE_SIM = 1 generate
mem_ctrl : a2_ddr2_dimm_1GB
mem_ctrl : alt_ddr2_agx2
port map (
pll_ref_clk => ref_clk,
phy_clk => clk,
658,7 → 658,7
end generate;
 
gen_2 : if ENABLE_SIM = 0 generate
mem_ctrl : a2_ddr2_dimm_1GB
mem_ctrl : alt_ddr2_agx2
port map (
pll_ref_clk => ref_clk,
phy_clk => clk,
695,7 → 695,7
end generate;
 
--synthesis translate_off
a2_ddr2_dimm_1GB_0 : a2_ddr2_dimm_1GB_full_mem_model
a2_ddr2_dimm_1GB_0 : entity work.alt_ddr2_agx2_full_mem_model
port map (
mem_clk => ddr2_clk(0),
mem_clk_n => ddr2_clk_n(0),
/trunk/TUT/ip.hwp.storage/ddrx/hibi_mem_dma.comp/2.0/ts/a2gx_hibi_mem_dma_perf_test/ddr2_sodimm.qsf
79,7 → 79,7
set_global_assignment -name TOP_LEVEL_ENTITY hibi_mem_dma_perf_test_tb
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 9.1
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:17:30 JANUARY 19, 2010"
set_global_assignment -name LAST_QUARTUS_VERSION "10.1 SP1"
set_global_assignment -name LAST_QUARTUS_VERSION 11.1
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
806,27 → 806,10
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I" -to ddr2_dimm_dm[6]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I" -to ddr2_dimm_dm[7]
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name SLD_NODE_CREATOR_ID 110 -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_ENTITY_NAME sld_signaltap -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_RAM_BLOCK_TYPE=M9K" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_INFO=805334528" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_POWER_UP_TRIGGER=0" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STORAGE_QUALIFIER_INVERSION_MASK_LENGTH=0" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ATTRIBUTE_MEM_MODE=OFF" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_FLOW_USE_GENERATED=0" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_STATE_BITS=11" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_BUFFER_FULL_STOP=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_CURRENT_RESOURCE_WIDTH=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_IN_ENABLED=0" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ADVANCED_TRIGGER_ENTITY=basic,1," -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_LEVEL_PIPELINE=1" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_ENABLE_ADVANCED_TRIGGER=0" -section_id auto_signaltap_0
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
set_global_assignment -name MISC_FILE "D:/svn/koski/trunk/lib/hw_lib/ips/memories/memory_to_hibi_r2/ts/a2gx_m2h2/ddr2_sodimm.dpf"
set_location_assignment PIN_AL8 -to ddr2_dimm_cas_n
set_global_assignment -name AUTO_RAM_RECOGNITION ON
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SAMPLE_DEPTH=2048" -section_id auto_signaltap_0
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
2170,947 → 2153,6
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr2_dimm_odt
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr2_dimm_cs_n
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr2_dimm_cke
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_clk -to "hibi_mem_dma:hibi_mem_dma_0|clk" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[0] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[1] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[2] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[3] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[4] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[5] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[6] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[7] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[8] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[9] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[10] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_rd_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[11] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_wr_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[12] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[13] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[14] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[15] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[16] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[17] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[18] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[19] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[20] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[21] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[22] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_init_done_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[23] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_re_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[24] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[25] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[26] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[27] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[28] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[29] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_we" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[30] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[31] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[32] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[33] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[34] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[35] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[36] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[37] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[38] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[39] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[40] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[41] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[42] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[43] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[44] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[45] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[46] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[47] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[48] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[49] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[50] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[51] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[52] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[53] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[54] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[55] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[56] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[57] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[58] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[59] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[60] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[61] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[62] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[63] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[64] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[65] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[66] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[67] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[68] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[69] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[70] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[71] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[72] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[73] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[74] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[75] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[76] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[77] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[78] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[79] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[80] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[81] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[82] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[83] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[84] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[85] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[86] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[87] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[88] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[89] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[90] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[91] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[92] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[93] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[94] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[95] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[96] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[97] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[98] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[99] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[100] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[101] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[102] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[103] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[104] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[105] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[106] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[107] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[108] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[109] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[110] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[111] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[112] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[113] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[114] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[115] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[116] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[117] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[118] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[119] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[120] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[121] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[122] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[123] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[124] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[125] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[126] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[127] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[128] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[129] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[130] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[131] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[132] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[133] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[134] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[135] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[136] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[137] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[138] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[139] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[140] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[141] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[142] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[143] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[144] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[145] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[146] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[147] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[148] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[149] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[150] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[151] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[152] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[153] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[154] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[155] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[156] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[157] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[158] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[159] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[160] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[161] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[162] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[163] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[164] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_empty_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[165] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_false_rd_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[166] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_false_wr" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[167] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_false_wr_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[168] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_full_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[169] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[170] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[171] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[172] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[173] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[174] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[175] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[176] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[177] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[178] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[179] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[180] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[181] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[182] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[183] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[184] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[185] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[186] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[187] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[188] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[189] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[190] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[191] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[192] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[193] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[194] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[195] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[196] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[197] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[198] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[199] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[200] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[201] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[202] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[203] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[204] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[205] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[206] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[207] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[208] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[209] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[210] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[211] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[212] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[213] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[214] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[215] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[216] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[217] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[218] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[219] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[220] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[221] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[222] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[223] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[224] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[225] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[226] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[227] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[228] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[229] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[230] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[231] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[232] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[233] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[234] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[235] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[236] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[237] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[238] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[239] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[240] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[241] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[242] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[243] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[244] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[245] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[246] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[247] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[248] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[249] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[250] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[251] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[252] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[253] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[254] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[255] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[256] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[257] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[258] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[259] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[260] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[261] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[262] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[263] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[264] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[265] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[266] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[267] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[268] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[269] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[270] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[271] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[272] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[273] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[274] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[275] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[276] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[277] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[278] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[279] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[280] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[281] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[282] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[283] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[284] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[285] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[286] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[287] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[288] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[289] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[290] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[291] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[292] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[293] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[294] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[295] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[296] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[297] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[298] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[299] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[300] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[301] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[302] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[303] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_empty_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[304] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_full_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[305] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_re_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[306] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_re_stall_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[307] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_we_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[308] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[309] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[310] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[311] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[312] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[313] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[314] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[315] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[316] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[317] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[318] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[319] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[320] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[321] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[322] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[323] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[324] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[325] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[326] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[327] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[328] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[329] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[330] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[331] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[332] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[333] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[334] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[335] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[336] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[337] -to "hibi_mem_dma:hibi_mem_dma_0|mem_init_done_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[338] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[339] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[340] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[341] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[342] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[343] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[344] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[345] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[346] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_req_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[347] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[348] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[349] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[350] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[351] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[352] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[353] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[354] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[355] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[356] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[357] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[358] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[359] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[360] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[361] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[362] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[363] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[364] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[365] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[366] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[367] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[368] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[369] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[370] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[371] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[372] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[373] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[374] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[375] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[376] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[377] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[378] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[379] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_valid_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[380] -to "hibi_mem_dma:hibi_mem_dma_0|mem_ready_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[381] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[382] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[383] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[384] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[385] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[386] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[387] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[388] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[389] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[390] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[391] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[392] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[393] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[394] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[395] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[396] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[397] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[398] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[399] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[400] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[401] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[402] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[403] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[404] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[405] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[406] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[407] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[408] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[409] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[410] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[411] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[412] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[413] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wr_req_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[414] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[415] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[416] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[417] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[418] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[419] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[420] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[421] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[422] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[423] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[424] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[425] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[426] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[427] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[428] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[429] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[430] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[431] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[432] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[433] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[434] -to "hibi_mem_dma:hibi_mem_dma_0|rw_chan_conf_index_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[435] -to "hibi_mem_dma:hibi_mem_dma_0|rw_chan_conf_index_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[436] -to "hibi_mem_dma:hibi_mem_dma_0|rw_chan_conf_index_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[437] -to "hibi_mem_dma:hibi_mem_dma_0|rw_conf_mem_init_done_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[438] -to "hibi_mem_dma:hibi_mem_dma_0|rw_req_type_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[439] -to "hibi_mem_dma:hibi_mem_dma_0|wr_conf_mem_we_0" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[440] -to "hibi_mem_dma:hibi_mem_dma_0|wr_conf_mem_we_1_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[441] -to "hibi_mem_dma:hibi_mem_dma_0|wr_conf_started_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[761] -to test_start -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[762] -to test_started -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[763] -to wr_block_cnt_we_r -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[764] -to wr_block_length_r[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[765] -to wr_block_length_r[10] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[766] -to wr_block_length_r[11] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[767] -to wr_block_length_r[12] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[768] -to wr_block_length_r[13] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[769] -to wr_block_length_r[14] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[770] -to wr_block_length_r[15] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[771] -to wr_block_length_r[16] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[772] -to wr_block_length_r[17] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[773] -to wr_block_length_r[18] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[774] -to wr_block_length_r[19] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[775] -to wr_block_length_r[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[776] -to wr_block_length_r[20] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[777] -to wr_block_length_r[21] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[778] -to wr_block_length_r[22] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[779] -to wr_block_length_r[23] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[780] -to wr_block_length_r[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[781] -to wr_block_length_r[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[782] -to wr_block_length_r[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[783] -to wr_block_length_r[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[784] -to wr_block_length_r[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[785] -to wr_block_length_r[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[786] -to wr_block_length_r[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[787] -to wr_block_length_r[9] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[0] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[1] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[2] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[3] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[4] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rd_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[5] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[6] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[7] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[8] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[9] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[10] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_rd_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[11] -to "hibi_mem_dma:hibi_mem_dma_0|cur_rw_chan_wr_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[12] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[13] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[14] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[15] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[16] -to "hibi_mem_dma:hibi_mem_dma_0|cur_wr_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[17] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[18] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[19] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[20] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[21] -to "hibi_mem_dma:hibi_mem_dma_0|free_rd_chan_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[22] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_init_done_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[23] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_re_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[24] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[25] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[26] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[27] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[28] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_wdata_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[29] -to "hibi_mem_dma:hibi_mem_dma_0|free_wr_chan_we" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[30] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[31] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[32] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[33] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[34] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[35] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[36] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[37] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[38] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[39] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[40] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[41] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[42] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[43] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[44] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[45] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[46] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[47] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[48] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[49] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[50] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[51] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[52] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[53] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[54] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[55] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[56] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[57] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[58] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[59] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[60] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[61] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[62] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[63] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[64] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[65] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[66] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[67] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[68] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[69] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[70] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[71] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[72] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[73] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[74] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[75] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[76] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[77] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[78] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[79] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[80] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[81] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[82] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[83] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[84] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[85] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[86] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[87] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[88] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[89] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[90] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[91] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[92] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[93] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_addr_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[94] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[95] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[96] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[97] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[98] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[99] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_comm_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[100] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[101] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[102] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[103] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[104] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[105] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[106] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[107] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[108] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[109] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[110] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[111] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[112] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[113] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[114] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[115] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[116] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[117] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[118] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[119] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[120] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[121] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[122] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[123] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[124] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[125] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[126] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[127] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[128] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[129] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[130] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[131] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[132] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[133] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[134] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[135] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[136] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[137] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[138] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[139] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[140] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[141] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[142] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[143] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[144] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[145] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[146] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[147] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[148] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[149] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[150] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[151] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[152] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[153] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[154] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[155] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[156] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[157] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[158] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[159] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[160] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[161] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[162] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[163] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_data_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[164] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_empty_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[165] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_false_rd_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[166] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_false_wr" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[167] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_false_wr_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[168] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_full_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[169] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[170] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[171] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[172] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[173] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[174] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[175] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[176] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[177] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[178] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[179] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[180] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[181] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[182] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[183] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[184] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[185] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[186] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[187] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[188] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[189] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[190] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[191] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[192] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[193] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[194] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[195] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[196] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[197] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[198] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[199] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[200] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[201] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[202] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[203] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[204] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[205] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[206] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[207] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[208] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[209] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[210] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[211] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[212] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[213] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[214] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[215] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[216] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[217] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[218] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[219] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[220] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[221] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[222] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[223] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[224] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[225] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[226] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[227] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[228] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[229] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[230] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[231] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[232] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_addr_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[233] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[234] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[235] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[236] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[237] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[238] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_comm_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[239] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[240] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[241] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[242] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[243] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[244] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[245] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[246] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[247] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[248] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[249] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[250] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[251] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[252] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[253] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[254] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[255] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[256] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[257] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[258] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[259] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[260] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[261] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[262] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[263] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[264] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[265] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[266] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[267] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[268] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[269] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[270] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[271] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[272] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[273] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[274] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[275] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[276] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[277] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[278] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[279] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[280] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[281] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[282] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[283] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[284] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[285] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[286] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[287] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[288] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[289] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[290] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[291] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[292] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[293] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[294] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[295] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[296] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[297] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[298] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[299] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[300] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[301] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[302] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_data_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[303] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_empty_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[304] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_full_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[305] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_re_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[306] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_re_stall_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[307] -to "hibi_mem_dma:hibi_mem_dma_0|hibi_msg_we_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[308] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[309] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[310] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[311] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[312] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[313] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[314] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[315] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[316] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[317] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[318] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[319] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[320] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[321] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[322] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[323] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[324] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[325] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[326] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[327] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[328] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[329] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[330] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[331] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[332] -to "hibi_mem_dma:hibi_mem_dma_0|mem_addr_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[333] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[334] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[335] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[336] -to "hibi_mem_dma:hibi_mem_dma_0|mem_be_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[337] -to "hibi_mem_dma:hibi_mem_dma_0|mem_init_done_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[338] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[339] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[340] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[341] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[342] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[343] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[344] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[345] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_cnt_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[346] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rd_req_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[347] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[348] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[349] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[350] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[351] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[352] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[353] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[354] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[355] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[356] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[357] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[358] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[359] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[360] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[361] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[362] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[363] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[364] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[365] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[366] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[367] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[368] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[369] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[370] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[371] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[372] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[373] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[374] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[375] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[376] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[377] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[378] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[379] -to "hibi_mem_dma:hibi_mem_dma_0|mem_rdata_valid_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[380] -to "hibi_mem_dma:hibi_mem_dma_0|mem_ready_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[381] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[382] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[383] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[384] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[385] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[386] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[387] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[388] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[389] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[390] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[391] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[392] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[393] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[394] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[395] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[396] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[397] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[398] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[399] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[400] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[401] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[402] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[403] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[404] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[405] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[406] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[407] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[408] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[409] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[410] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[411] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[412] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wdata_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[413] -to "hibi_mem_dma:hibi_mem_dma_0|mem_wr_req_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[414] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[415] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[416] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[417] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[418] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[419] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[420] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[421] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[422] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[423] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[424] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[425] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[426] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[427] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[428] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[429] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[430] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[431] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[432] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[433] -to "hibi_mem_dma:hibi_mem_dma_0|rd_amount_r[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[434] -to "hibi_mem_dma:hibi_mem_dma_0|rw_chan_conf_index_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[435] -to "hibi_mem_dma:hibi_mem_dma_0|rw_chan_conf_index_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[436] -to "hibi_mem_dma:hibi_mem_dma_0|rw_chan_conf_index_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[437] -to "hibi_mem_dma:hibi_mem_dma_0|rw_conf_mem_init_done_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[438] -to "hibi_mem_dma:hibi_mem_dma_0|rw_req_type_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[439] -to "hibi_mem_dma:hibi_mem_dma_0|wr_conf_mem_we_0" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[440] -to "hibi_mem_dma:hibi_mem_dma_0|wr_conf_mem_we_1_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[441] -to "hibi_mem_dma:hibi_mem_dma_0|wr_conf_started_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[761] -to test_start -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[762] -to test_started -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[763] -to wr_block_cnt_we_r -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[764] -to wr_block_length_r[0] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[765] -to wr_block_length_r[10] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[766] -to wr_block_length_r[11] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[767] -to wr_block_length_r[12] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[768] -to wr_block_length_r[13] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[769] -to wr_block_length_r[14] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[770] -to wr_block_length_r[15] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[771] -to wr_block_length_r[16] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[772] -to wr_block_length_r[17] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[773] -to wr_block_length_r[18] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[774] -to wr_block_length_r[19] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[775] -to wr_block_length_r[1] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[776] -to wr_block_length_r[20] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[777] -to wr_block_length_r[21] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[778] -to wr_block_length_r[22] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[779] -to wr_block_length_r[23] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[780] -to wr_block_length_r[2] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[781] -to wr_block_length_r[3] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[782] -to wr_block_length_r[4] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[783] -to wr_block_length_r[5] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[784] -to wr_block_length_r[6] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[785] -to wr_block_length_r[7] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[786] -to wr_block_length_r[8] -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[787] -to wr_block_length_r[9] -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_DATA_BITS=788" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_TRIGGER_BITS=788" -section_id auto_signaltap_0
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I" -to ddr2_dimm_odt[0]
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to ddr2_dimm_odt[0]
set_instance_assignment -name IO_STANDARD "SSTL-18 CLASS I" -to ddr2_dimm_cs_n[0]
3155,671 → 2197,72
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_SEGMENT_SIZE=2048" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[442] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|empty_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[443] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|full_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[444] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|one_d_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[445] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|one_p_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[446] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|re_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[447] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|we_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[448] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|empty_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[449] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|full_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[450] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|one_d_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[451] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|one_p_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[452] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|re_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[453] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|we_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[454] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.CONF_RD" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[455] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.CONF_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[456] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.DELAY" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[457] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.MEM_RD" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[458] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.MEM_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[459] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.RET_ADDR_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[460] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.SINGLE_RD" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[461] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.SINGLE_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[462] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.SINGLE_WR_AV" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[463] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.WAIT_START" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[464] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_av_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[465] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_av_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[466] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[467] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[468] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[469] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[470] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[471] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[472] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[473] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[474] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[475] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[476] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[477] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[478] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[479] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[480] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[481] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[482] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[483] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[484] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[485] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[486] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[487] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[488] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[489] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[490] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[491] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[492] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[493] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[494] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[495] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[496] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[497] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[498] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[499] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[500] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[501] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[502] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[503] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[504] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[505] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[506] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[507] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[508] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[509] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[510] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[511] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[512] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[513] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[514] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[515] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[516] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[517] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[518] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[519] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[520] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[521] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[522] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[523] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[524] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[525] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[526] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[527] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[528] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[529] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[530] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[531] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[532] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[533] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[534] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[535] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[536] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_empty_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[537] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_full_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[538] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_one_d_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[539] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_one_p_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[540] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_re_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[541] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_we_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[542] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_RD_CONF" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[543] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_REQ_SEND_0" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[544] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_REQ_SEND_1" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[545] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_WAIT" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[546] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_WR_CONF" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[547] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_type" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[548] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_chans_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[549] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_chans_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[550] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[551] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[552] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[553] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[554] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[555] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[556] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[557] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[558] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[559] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[560] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[561] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[562] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[563] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[564] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[565] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[566] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[567] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[568] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[569] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[570] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[571] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[572] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[573] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[574] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_started_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[575] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_requests_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[576] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_requests_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[577] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[578] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[579] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[580] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[581] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[582] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[583] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[584] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[585] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[586] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[587] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[588] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[589] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[590] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[591] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[592] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[593] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[594] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[595] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[596] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[597] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[598] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[599] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[600] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_chans_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[601] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_chans_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[602] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[603] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[604] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[605] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[606] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[607] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[608] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[609] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[610] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[611] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[612] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[613] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[614] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[615] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[616] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[617] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[618] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[619] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[620] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[621] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[622] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[623] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[624] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[625] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[626] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_started_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[627] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_requests_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[628] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_requests_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[629] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[630] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[631] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[632] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[633] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[634] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[635] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[636] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[637] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[638] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[639] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[640] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[641] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[642] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[643] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[644] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[645] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[646] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[647] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[648] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[649] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[650] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[651] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[652] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[653] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[654] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[655] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[656] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[657] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[658] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[659] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[660] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[661] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[662] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[663] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[664] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[665] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[666] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[667] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[668] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[669] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[670] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[671] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[672] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[673] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[674] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[675] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[676] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[677] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[678] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[679] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[680] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[681] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[682] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[683] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[684] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[685] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[686] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[687] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[688] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[689] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[690] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[691] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[692] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[693] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[694] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[695] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[696] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[697] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[698] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[699] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[700] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[701] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[702] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[703] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[704] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[705] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[706] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[707] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[708] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[709] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[710] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[711] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[712] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[713] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[714] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[715] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[716] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[717] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[718] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[719] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[720] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[721] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[722] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[723] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[724] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[725] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[726] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[727] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[728] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[729] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[730] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[731] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[732] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[733] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[734] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[735] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[736] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[737] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[738] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[739] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[740] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[741] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[742] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[743] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[744] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[745] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[746] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[747] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[748] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[749] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[750] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[751] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[752] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[753] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[754] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[755] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[756] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[757] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[758] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[759] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_trigger_in[760] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[442] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|empty_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[443] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|full_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[444] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|one_d_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[445] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|one_p_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[446] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|re_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[447] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_rd_req_fifo|we_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[448] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|empty_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[449] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|full_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[450] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|one_d_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[451] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|one_p_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[452] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|re_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[453] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fifo_u:m2h2_wr_req_fifo|we_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[454] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.CONF_RD" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[455] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.CONF_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[456] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.DELAY" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[457] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.MEM_RD" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[458] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.MEM_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[459] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.RET_ADDR_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[460] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.SINGLE_RD" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[461] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.SINGLE_WR" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[462] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.SINGLE_WR_AV" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[463] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|fsm_state.WAIT_START" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[464] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_av_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[465] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_av_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[466] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[467] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[468] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[469] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[470] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[471] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_comm_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[472] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[473] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[474] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[475] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[476] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[477] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[478] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[479] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[480] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[481] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[482] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[483] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[484] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[485] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[486] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[487] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[488] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[489] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[490] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[491] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[492] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[493] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[494] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[495] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[496] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[497] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[498] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[499] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[500] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[501] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[502] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[503] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[504] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[505] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[506] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[507] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[508] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[509] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[510] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[511] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[512] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[513] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[514] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[515] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[516] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[517] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[518] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[519] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[520] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[521] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[522] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[523] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[524] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[525] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[526] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[527] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[528] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[529] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[530] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[531] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[532] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[533] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[534] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[535] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_data_out[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[536] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_empty_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[537] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_full_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[538] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_one_d_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[539] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_one_p_in" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[540] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_re_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[541] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|hibi_we_out" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[542] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_RD_CONF" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[543] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_REQ_SEND_0" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[544] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_REQ_SEND_1" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[545] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_WAIT" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[546] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_state.M2H2_WR_CONF" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[547] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_conf_type" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[548] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_chans_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[549] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_chans_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[550] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[551] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[552] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[553] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[554] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[555] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[556] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[557] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[558] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[559] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[560] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[561] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[562] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[563] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[564] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[565] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[566] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[567] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[568] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[569] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[570] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[571] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[572] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[573] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_r[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[574] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_cycle_cnt_started_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[575] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_requests_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[576] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rd_requests_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[577] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[578] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[579] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[580] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[581] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[582] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[583] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[584] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[585] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[586] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[587] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[588] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[589] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[590] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[591] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[592] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[593] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[594] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[595] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[596] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[597] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[598] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[599] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_rx_offset[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[600] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_chans_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[601] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_chans_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[602] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[603] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[604] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[605] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[606] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[607] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[608] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[609] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[610] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[611] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[612] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[613] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[614] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[615] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[616] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[617] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[618] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[619] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[620] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[621] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[622] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[623] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[624] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[625] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_r[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[626] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_cycle_cnt_started_r" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[627] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_requests_r[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[628] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|m2h2_wr_requests_r[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[629] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[630] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[631] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[632] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[633] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[634] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[635] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[636] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[637] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[638] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[639] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[640] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[641] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[642] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[643] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[644] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[645] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[646] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[647] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[648] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_cnt[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[649] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[650] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[651] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[652] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[653] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[654] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[655] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[656] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[657] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[658] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[659] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[660] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[661] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[662] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[663] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[664] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[665] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[666] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[667] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[668] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_max_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[669] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[670] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[671] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[672] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[673] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[674] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[675] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[676] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[677] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[678] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[679] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[680] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[681] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[682] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[683] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[684] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[685] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[686] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[687] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[688] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_block_length_min_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[689] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[690] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[691] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[692] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[693] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[694] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[695] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[696] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[697] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[698] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[699] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[700] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[701] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[702] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[703] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[704] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[705] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[706] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[707] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[708] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_blocks_in[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[709] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[710] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[711] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[712] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[713] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[714] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[715] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[716] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[717] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[718] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[719] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[720] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[721] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[20]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[722] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[21]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[723] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[22]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[724] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[23]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[725] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[24]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[726] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[25]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[727] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[26]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[728] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[27]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[729] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[28]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[730] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[29]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[731] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[732] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[30]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[733] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[31]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[734] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[735] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[736] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[737] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[738] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[739] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[740] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|mem_rw_test_cnt[9]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[741] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[0]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[742] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[10]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[743] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[11]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[744] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[12]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[745] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[13]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[746] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[14]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[747] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[15]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[748] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[16]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[749] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[17]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[750] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[18]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[751] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[19]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[752] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[1]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[753] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[2]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[754] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[3]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[755] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[4]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[756] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[5]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[757] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[6]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[758] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[7]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[759] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[8]" -section_id auto_signaltap_0
set_instance_assignment -name CONNECT_TO_SLD_NODE_ENTITY_PORT acq_data_in[760] -to "hibi_mem_dma_tester:hibi_mem_dma_tester_0|test_error_out[9]" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK=0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_INVERSION_MASK_LENGTH=2389" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_LOWORD=35702" -section_id auto_signaltap_0
set_global_assignment -name SLD_NODE_PARAMETER_ASSIGNMENT "SLD_NODE_CRC_HIWORD=61732" -section_id auto_signaltap_0
set_global_assignment -name MISC_FILE "D:/svn/funbase/shared_lib/hw_lib/ips/storage/hibi_mem_dma/ts/a2gx_hibi_mem_dma_perf_test/ddr2_sodimm.dpf"
set_global_assignment -name VHDL_FILE ../../../../../../../release_2/lib/TUT/ip.hwp/comm.hibi/3.0/vhd/hibi_wrapper_r4.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/hibi_seg.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/fifo_muxes.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/dyn_arb.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/double_fifo_mux_rd.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/double_fifo_demux_wr.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/copy_of_multiclk_fifo.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/cfg_mem.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/cfg_init_pkg.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/addr_decoder.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/addr_data_muxes.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/addr_data_demuxes.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/hibi_wrapper_r1.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/tx_ctrl.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/transmitter.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/rx_ctrl.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/receiver.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/lfsr.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/hibiv2_pkg.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/hibi_wrapper_r4.vhd
set_global_assignment -name VHDL_FILE ../../../../../../../release_1/lib/hw_lib/ips/communication/hibi/vhd/hibi_wrapper_r3.vhd
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_wdata_path.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_timing_param.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_tbp.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_sideband.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_rdwr_data_tmg.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_rdata_path.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_rank_timer.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_odt_gen.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_mm_st_converter.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_lpddr2_addr_cmd.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_list.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_input_if.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_fifo.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_encoder_64_syn.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_encoder_32_syn.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_encoder.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_decoder_64_syn.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_decoder_32_syn.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ecc_decoder.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ddr3_odt_gen.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_ddr2_odt_gen.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_dataid_manager.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_csr.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_controller_st_top.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_controller.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_cmd_gen.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_burst_tracking.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_burst_gen.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_buffer_manager.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_buffer.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_arbiter.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_addr_cmd_wrap.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_ddrx_addr_cmd.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_phy_alt_mem_phy_seq_wrapper.v
set_global_assignment -name VHDL_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_phy_alt_mem_phy_seq.vhd
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_phy_alt_mem_phy_pll.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_phy_alt_mem_phy_dq_dqs.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_phy_alt_mem_phy.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_phy.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_controller_phy.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2_alt_mem_ddrx_controller_top.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_ddr2_agx2.v
set_global_assignment -name VERILOG_FILE ../../../../alt_ddr2_agx2.comp/2.0/hdl/alt_mem_phy_defines.v
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/tx_ctrl.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/transmitter.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/rx_ctrl.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/receiver.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/lfsr.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/hibiv2_pkg.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/hibi_wrapper_r4.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/hibi_wrapper_r3.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/hibi_wrapper_r1.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/fifo_muxes.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/fifo.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/dyn_arb.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/double_fifo_mux_rd.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/double_fifo_demux_wr.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/copy_of_multiclk_fifo.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/cfg_mem.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/cfg_init_pkg.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/addr_decoder.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/addr_data_muxes.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.communication/hibi/hibi_segment_small/2.0/vhd/addr_data_demuxes.vhd
set_global_assignment -name VHDL_FILE ../../../../../../ip.hwp.support/pseudo_rand_gen.comp/vhd/pseudo_rand_gen_r2.vhd
set_global_assignment -name VHDL_FILE ../../tb/hibi_mem_dma_tester.vhd
set_global_assignment -name VHDL_FILE ../../hdl/hibi_mem_dma.vhd
set_global_assignment -name VHDL_FILE ../../tb/hibi_mem_dma_perf_test_tb.vhd
3827,20 → 2270,6
set_global_assignment -name VHDL_FILE ../../hdl/dual_ram_async_read.vhd
set_global_assignment -name VHDL_FILE ../../hdl/fifo_u.vhd
set_global_assignment -name VHDL_FILE ../../hdl/fifo_ram.vhd
set_global_assignment -name VHDL_FILE ../../tb/m2h2_tester.vhd
set_global_assignment -name VHDL_FILE ../../../../misc/pseudo_rand_gen/vhd/pseudo_rand_gen_r2.vhd
set_global_assignment -name QIP_FILE ../../alt_mem_ctrl/a2_ddr2_dimm_1GB/a2_ddr2_dimm_1GB.qip
set_global_assignment -name SIGNALTAP_FILE stp1.stp
set_global_assignment -name QIP_FILE alt_mem.qip
set_global_assignment -name QIP_FILE alt_mem_2.qip
set_global_assignment -name QIP_FILE ../../../../../../../../koski/trunk/lib/hw_lib/ips/memories/memory_to_hibi_r2/alt_mem_ctrl/a2_ddr2_dimm_1GB/a2_ddr2_dimm_1GB.qip
set_global_assignment -name QIP_FILE ../../alt_mem_ctrl/a2_ddr2_dimm_1GB_vhdl/a2_ddr2.qip
set_global_assignment -name QIP_FILE ../../../../communication/pcie_to_hibi/hdl/fifo_adv.qip
set_global_assignment -name QIP_FILE ../../../../communication/pcie_to_hibi/hdl/fifo_i128x256_o32x1024.qip
set_global_assignment -name QIP_FILE ../../../../communication/pcie_to_hibi/hdl/fifo_128x256.qip
set_global_assignment -name QIP_FILE ../../../../communication/pcie_to_hibi/hdl/fifo_multi_clk.qip
set_global_assignment -name QIP_FILE ../../../../communication/pcie_to_hibi/hdl/fifo_multi_clk_2.qip
set_global_assignment -name QIP_FILE test.qip
set_global_assignment -name QIP_FILE onchip_mem.qip
set_global_assignment -name QIP_FILE ../../../../communication/pcie_to_hibi/alt_pcie_ctrl/a2_pex_x8/a2_pex_x8.qip
set_global_assignment -name VHDL_FILE ../../tb/hibi_seg.vhd
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.