OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /funbase_ip_library
    from Rev 47 to Rev 48
    Reverse comparison

Rev 47 → Rev 48

/trunk/Kactus/internal/mcapi_packet/1.0/mcapi_packet.absDef.1.0.xml
0,0 → 1,9
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 11:08:19 pe marras 11 2011-->
<spirit:abstractionDefinition>
<spirit:vendor>Kactus</spirit:vendor>
<spirit:library>internal</spirit:library>
<spirit:name>mcapi_packet.absDef</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:busType spirit:vendor="Kactus" spirit:library="internal" spirit:name="mcapi_packet" spirit:version="1.0"/>
</spirit:abstractionDefinition>
/trunk/Kactus/internal/mcapi_packet/1.0/mcapi_packet.1.0.xml
0,0 → 1,10
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 11:08:18 pe marras 11 2011-->
<spirit:busDefinition>
<spirit:vendor>Kactus</spirit:vendor>
<spirit:library>internal</spirit:library>
<spirit:name>mcapi_packet</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:directConnection>true</spirit:directConnection>
<spirit:isAddressable>true</spirit:isAddressable>
</spirit:busDefinition>
/trunk/Kactus/internal/mcapi_message/1.0/mcapi_message.1.0.xml
0,0 → 1,10
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 11:07:53 pe marras 11 2011-->
<spirit:busDefinition>
<spirit:vendor>Kactus</spirit:vendor>
<spirit:library>internal</spirit:library>
<spirit:name>mcapi_message</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:directConnection>true</spirit:directConnection>
<spirit:isAddressable>true</spirit:isAddressable>
</spirit:busDefinition>
/trunk/Kactus/internal/mcapi_message/1.0/mcapi_message.absDef.1.0.xml
0,0 → 1,9
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 11:07:53 pe marras 11 2011-->
<spirit:abstractionDefinition>
<spirit:vendor>Kactus</spirit:vendor>
<spirit:library>internal</spirit:library>
<spirit:name>mcapi_message.absDef</spirit:name>
<spirit:version>1.0</spirit:version>
<spirit:busType spirit:vendor="Kactus" spirit:library="internal" spirit:name="mcapi_message" spirit:version="1.0"/>
</spirit:abstractionDefinition>
/trunk/TUT/product/pc_arria_ii_gx/1.0/pc_arria_ii_gx.design.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:04:50 ma marras 7 2011-->
<!--Created by Kactus 2 document generator 13:36:17 ke marras 9 2011-->
<spirit:design>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/trunk/TUT/product/pc_arria_ii_gx/1.0/pc_arria_ii_gx.designcfg.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:04:50 ma marras 7 2011-->
<!--Created by Kactus 2 document generator 13:36:17 ke marras 9 2011-->
<spirit:designConfiguration>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/trunk/TUT/product/pc_arria_ii_gx/1.0/pc_arria_ii_gx.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:04:50 ma marras 7 2011-->
<!--Created by Kactus 2 document generator 13:36:17 ke marras 9 2011-->
<spirit:component>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>product</spirit:library>
/trunk/TUT/chip/arria_ii/1.0/arria_ii.design.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 13:49:41 ma marras 7 2011-->
<!--Created by Kactus 2 document generator 13:36:13 ke marras 9 2011-->
<spirit:design>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>chip</spirit:library>
27,6 → 27,9
<kactus2:portPosition kactus2:busRef="rst_n">
<kactus2:position x="80" y="90"/>
</kactus2:portPosition>
<kactus2:portPosition kactus2:busRef="soft_rst_n">
<kactus2:position x="-80" y="110"/>
</kactus2:portPosition>
</kactus2:portPositions>
</spirit:vendorExtensions>
</spirit:componentInstance>
/trunk/TUT/chip/arria_ii/1.0/arria_ii.designcfg.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 13:49:41 ma marras 7 2011-->
<!--Created by Kactus 2 document generator 13:36:13 ke marras 9 2011-->
<spirit:designConfiguration>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>chip</spirit:library>
8,7 → 8,7
<spirit:designRef spirit:vendor="TUT" spirit:library="chip" spirit:name="arria_ii.design" spirit:version="1.0"/>
<spirit:viewConfiguration>
<spirit:instanceName>arria_ii_gx_demo_soc_1</spirit:instanceName>
<spirit:viewName>structural</spirit:viewName>
<spirit:viewName>nios_picture_manipulator</spirit:viewName>
</spirit:viewConfiguration>
<spirit:viewConfiguration>
<spirit:instanceName>example_soc_2_1</spirit:instanceName>
/trunk/TUT/chip/arria_ii/1.0/arria_ii.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 13:49:41 ma marras 7 2011-->
<!--Created by Kactus 2 document generator 13:36:13 ke marras 9 2011-->
<spirit:component>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>chip</spirit:library>
/trunk/TUT/ip.hwp.interface/altera_de2_pll_25/ip-xact/altera_de2_pll_25.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 19:39:09 ti marras 1 2011-->
<!--Created by Kactus 2 document generator 11:03:01 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="IP" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.misc</spirit:library>
10,8 → 10,8
<spirit:name>clk_in</spirit:name>
<spirit:displayName>clk_in</spirit:displayName>
<spirit:description>Input clock (50 MHz, DE2 PIN_N2)</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
39,8 → 39,8
<spirit:name>clk_out</spirit:name>
<spirit:displayName>clk_out</spirit:displayName>
<spirit:description>Output clock: input clock divided by 2.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/ip.hwp.interface/udp_ip/1.0/ip-xact/udp_ip_dm9000a.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 08:58:44 ma loka 17 2011-->
<!--Created by Kactus 2 document generator 11:06:26 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="IP" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.interface</spirit:library>
545,8 → 545,8
<spirit:name>clk</spirit:name>
<spirit:displayName>clk</spirit:displayName>
<spirit:description>Clock 25 MHz in.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
574,8 → 574,8
<spirit:name>rst_n</spirit:name>
<spirit:displayName>rst_n</spirit:displayName>
<spirit:description>Asynchronous reset active-low.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/ip.hwp.interface/udp_ip/1.0/ip-xact/udp_ip_lan91c111.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 01:59:33 pe marras 4 2011-->
<!--Created by Kactus 2 document generator 11:07:05 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="IP" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.interface</spirit:library>
545,8 → 545,8
<spirit:name>clk</spirit:name>
<spirit:displayName>clk</spirit:displayName>
<spirit:description>Clock 25 MHz in.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
574,8 → 574,8
<spirit:name>rst_n</spirit:name>
<spirit:displayName>rst_n</spirit:displayName>
<spirit:description>Asynchronous reset active-low.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/ip.hwp.interface/udp_ip/1.0/ip-xact/simple_udp_flood_example.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 22:32:19 to marras 3 2011-->
<!--Created by Kactus 2 document generator 11:05:01 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="IP" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.interface</spirit:library>
10,8 → 10,8
<spirit:name>clk</spirit:name>
<spirit:displayName>clk</spirit:displayName>
<spirit:description>Clock 25 MHz synchronous to UDP/IP/ETH clock.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
68,8 → 68,8
<spirit:name>rst_n</spirit:name>
<spirit:displayName>rst_n</spirit:displayName>
<spirit:description>rst_n</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/ip.hwp.interface/udp_ip/1.0/ip-xact/simple_udp_receiver_example.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 21:42:57 to marras 3 2011-->
<!--Created by Kactus 2 document generator 11:05:47 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="IP" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.interface</spirit:library>
10,8 → 10,8
<spirit:name>clk</spirit:name>
<spirit:displayName>clk</spirit:displayName>
<spirit:description>25 MHz clock synch with udp/ip ctrl.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
97,8 → 97,8
<spirit:name>rst_n</spirit:name>
<spirit:displayName>rst_n</spirit:displayName>
<spirit:description>rst_n</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/ip.hwp.interface/altera_statix2_pll_25/ip-xact/altera_statix2_pll_25.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 19:39:09 ti marras 1 2011-->
<!--Created by Kactus 2 document generator 11:04:03 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="IP" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.misc</spirit:library>
10,8 → 10,8
<spirit:name>clk_in</spirit:name>
<spirit:displayName>clk_in</spirit:displayName>
<spirit:description>Input clock (100 MHz, StratixII S180 board PIN_AM17)</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
39,8 → 39,8
<spirit:name>clk_out</spirit:name>
<spirit:displayName>clk_out</spirit:displayName>
<spirit:description>Output clock: input clock divided by 4.</spirit:description>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:master/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/soc/udp_flood_example_dm9000a/1.0/udp_flood_example_dm9000a.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 18:41:06 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 11:13:57 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="SoC" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
83,8 → 83,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
121,8 → 121,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/soc/udp_flood_example_lan91c111/1.0/udp_flood_example_lan91c111.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 18:45:23 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 11:14:30 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="SoC" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
83,8 → 83,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
121,8 → 121,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/soc/udp_receiver_example_dm9000a/1.0/udp_receiver_example_dm9000a.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 18:43:31 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 11:15:02 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="SoC" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
83,8 → 83,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
140,8 → 140,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/soc/udp_receiver_example_lan91c111/1.0/udp_receiver_example_lan91c111.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 18:48:07 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 11:15:40 pe marras 11 2011-->
<spirit:component kts_firmtype="HW" kts_producthier="SoC" kts_reuselevel="Block">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
83,8 → 83,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>clk_in</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.clock" spirit:name="clock_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
140,8 → 140,8
</spirit:busInterface>
<spirit:busInterface>
<spirit:name>rst_n</spirit:name>
<spirit:busType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="spiritconsortium.org" spirit:library="busdef.reset" spirit:name="reset_rtl" spirit:version="1.0"/>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
<spirit:slave/>
<spirit:connectionRequired>false</spirit:connectionRequired>
<spirit:portMaps>
/trunk/TUT/soc/arria_ii_gx_demo_soc/1.0/arria_ii_gx_demo_soc.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 15:34:58 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 15:03:09 ke marras 9 2011-->
<spirit:component>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
283,7 → 283,7
<spirit:model>
<spirit:views>
<spirit:view>
<spirit:name>structural</spirit:name>
<spirit:name>hw_acc_picture_manipulator</spirit:name>
<spirit:envIdentifier>vhdl:quartus:</spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="arria_ii_gx_demo_soc.designcfg" spirit:version="1.0"/>
<spirit:vendorExtensions>
291,6 → 291,11
</spirit:vendorExtensions>
</spirit:view>
<spirit:view>
<spirit:name>nios_picture_manipulator</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="arria_ii_gx_demo_soc.nios_picture_manipulator.designcfg" spirit:version="1.0"/>
</spirit:view>
<spirit:view>
<spirit:name>rtl</spirit:name>
<spirit:envIdentifier>VHDL::</spirit:envIdentifier>
<spirit:language spirit:strict="false">vhdl</spirit:language>
299,11 → 304,6
<spirit:localName>vhdlSource</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<spirit:view>
<spirit:name>nios_picture_manipulator</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="soc" spirit:name="arria_ii_gx_demo_soc.nios_picture_manipulator.designcfg" spirit:version="1.0"/>
</spirit:view>
</spirit:views>
<spirit:ports>
<spirit:port>
/trunk/TUT/soc/arria_ii_gx_demo_soc/1.0/vhd/arria_ii_gx_demo_soc.vhd
1,6 → 1,6
-- ****************************************************
-- ** File: arria_ii_gx_demo_soc.vhd
-- ** Date: 08.11.2011 11:33:16
-- ** Date: 09.11.2011 10:33:18
-- ** Description:
-- **
-- ** This file was generated by Kactus2 vhdl generator
100,7 → 100,9
signal hibi_segment_small_1_agent_full_out_1_pcie_to_hibi_4x_1_hibi_full_in : std_logic;
signal hibi_segment_small_1_agent_full_out_2_picture_manip_1_hibi_iFull : std_logic;
signal hibi_segment_small_1_agent_one_d_out_1_pcie_to_hibi_4x_1_hibi_one_d_in : std_logic;
signal hibi_segment_small_1_agent_one_d_out_2_picture_manip_1_hibi_iOne_d_left : std_logic;
signal hibi_segment_small_1_agent_one_p_out_1_pcie_to_hibi_4x_1_hibi_one_p_in : std_logic;
signal hibi_segment_small_1_agent_one_p_out_2_picture_manip_1_hibi_iOne_p_left : std_logic;
signal hibi_segment_small_1_agent_re_in_1_pcie_to_hibi_4x_1_hibi_re_out : std_logic;
signal hibi_segment_small_1_agent_re_in_2_picture_manip_1_hibi_Ore : std_logic;
signal hibi_segment_small_1_agent_we_in_1_pcie_to_hibi_4x_1_hibi_we_out : std_logic;
221,7 → 223,9
agent_msg_re_in_17 : in std_logic;
agent_msg_we_in_17 : in std_logic;
agent_one_d_out_1 : out std_logic;
agent_one_d_out_2 : out std_logic;
agent_one_p_out_1 : out std_logic;
agent_one_p_out_2 : out std_logic;
agent_re_in_1 : in std_logic;
agent_re_in_17 : in std_logic;
agent_re_in_2 : in std_logic;
251,6 → 255,7
hibi_re_out : out std_logic;
hibi_we_out : out std_logic;
pcie_ref_clk : in std_logic;
pcie_rst_n : in std_logic;
pcie_rx : in std_logic_vector(3 downto 0);
pcie_tx : out std_logic_vector(3 downto 0);
rst_n : in std_logic);
265,6 → 270,8
hibi_iData : in std_logic_vector(31 downto 0);
hibi_iEmpty : in std_logic;
hibi_iFull : in std_logic;
hibi_iOne_d_left : in std_logic;
hibi_iOne_p_left : in std_logic;
hibi_oAv : out std_logic;
hibi_oComm : out std_logic_vector(2 downto 0);
hibi_oData : out std_logic_vector(31 downto 0);
280,35 → 287,35
 
a2_ddr2_dimm_1GB_1 : a2_ddr2_dimm_1GB
port map (
local_rdata(31 downto 0) => a2_ddr2_dimm_1GB_1_local_rdata_hibi_mem_dma_1_mem_rdata_in(31 downto 0),
local_rdata_valid => a2_ddr2_dimm_1GB_1_local_rdata_valid_hibi_mem_dma_1_mem_rdata_valid_in,
global_reset_n => user_pb_0,
mem_addr => mem_addr,
mem_ba => mem_ba,
mem_cas_n => mem_cas_n,
local_wdata(31 downto 0) => a2_ddr2_dimm_1GB_1_local_wdata_hibi_mem_dma_1_mem_wdata_out(31 downto 0),
mem_cas_n => mem_cas_n,
local_write_req => a2_ddr2_dimm_1GB_1_local_write_req_hibi_mem_dma_1_mem_wr_req_out,
mem_cs_n => mem_cs_n,
mem_dm => mem_dm,
mem_dq => mem_dq,
mem_dqs => mem_dqs,
mem_dqsn => mem_dqsn,
local_read_req => a2_ddr2_dimm_1GB_1_local_read_req_hibi_mem_dma_1_mem_rd_req_out,
local_ready => a2_ddr2_dimm_1GB_1_local_ready_hibi_mem_dma_1_mem_ready_in,
local_size(2 downto 0) => a2_ddr2_dimm_1GB_1_local_size_hibi_mem_dma_1_mem_burst_size_out(2 downto 0),
mem_odt => mem_odt,
mem_ras_n => mem_ras_n,
mem_we_n => mem_we_n,
local_address(24 downto 0) => a2_ddr2_dimm_1GB_1_local_address_hibi_mem_dma_1_mem_addr_out(24 downto 0),
mem_cke => mem_cke,
mem_addr => mem_addr,
global_reset_n => user_pb_0,
mem_clk => mem_clk,
mem_clk_n => mem_clk_n,
mem_cs_n => mem_cs_n,
local_address(24 downto 0) => a2_ddr2_dimm_1GB_1_local_address_hibi_mem_dma_1_mem_addr_out(24 downto 0),
local_be(3 downto 0) => a2_ddr2_dimm_1GB_1_local_be_hibi_mem_dma_1_mem_be_out(3 downto 0),
local_burstbegin => a2_ddr2_dimm_1GB_1_local_burstbegin_hibi_mem_dma_1_mem_burst_begin_out,
mem_odt => mem_odt,
mem_ras_n => mem_ras_n,
mem_dqsn => mem_dqsn,
local_init_done => a2_ddr2_dimm_1GB_1_local_init_done_hibi_mem_dma_1_mem_init_done_in,
local_rdata(31 downto 0) => a2_ddr2_dimm_1GB_1_local_rdata_hibi_mem_dma_1_mem_rdata_in(31 downto 0),
pll_ref_clk => clkin_bot_p,
soft_reset_n => soft_reset_n,
phy_clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
local_be(3 downto 0) => a2_ddr2_dimm_1GB_1_local_be_hibi_mem_dma_1_mem_be_out(3 downto 0),
mem_dq => mem_dq,
mem_dqs => mem_dqs,
local_size(2 downto 0) => a2_ddr2_dimm_1GB_1_local_size_hibi_mem_dma_1_mem_burst_size_out(2 downto 0),
mem_dm => mem_dm,
mem_we_n => mem_we_n,
local_rdata_valid => a2_ddr2_dimm_1GB_1_local_rdata_valid_hibi_mem_dma_1_mem_rdata_valid_in,
mem_ba => mem_ba,
mem_clk => mem_clk,
local_read_req => a2_ddr2_dimm_1GB_1_local_read_req_hibi_mem_dma_1_mem_rd_req_out,
local_ready => a2_ddr2_dimm_1GB_1_local_ready_hibi_mem_dma_1_mem_ready_in,
local_write_req => a2_ddr2_dimm_1GB_1_local_write_req_hibi_mem_dma_1_mem_wr_req_out);
phy_clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk);
 
hibi_mem_dma_1 : hibi_mem_dma
generic map (
317,119 → 324,124
MEM_ADDR_WIDTH => 25,
MEM_BE_WIDTH => 4)
port map (
hibi_comm_in => hibi_segment_small_1_agent_comm_out_17_hibi_mem_dma_1_hibi_comm_in,
hibi_msg_data_out => hibi_segment_small_1_agent_msg_data_in_17_hibi_mem_dma_1_hibi_msg_data_out,
rst_n => user_pb_0,
mem_wr_req_out => a2_ddr2_dimm_1GB_1_local_write_req_hibi_mem_dma_1_mem_wr_req_out,
hibi_we_out => hibi_segment_small_1_agent_we_in_17_hibi_mem_dma_1_hibi_we_out,
mem_addr_out(24 downto 0) => a2_ddr2_dimm_1GB_1_local_address_hibi_mem_dma_1_mem_addr_out(24 downto 0),
hibi_msg_we_out => hibi_segment_small_1_agent_msg_we_in_17_hibi_mem_dma_1_hibi_msg_we_out,
hibi_msg_full_in => hibi_segment_small_1_agent_msg_full_out_17_hibi_mem_dma_1_hibi_msg_full_in,
hibi_msg_re_out => hibi_segment_small_1_agent_msg_re_in_17_hibi_mem_dma_1_hibi_msg_re_out,
hibi_comm_out => hibi_segment_small_1_agent_comm_in_17_hibi_mem_dma_1_hibi_comm_out,
hibi_data_in => hibi_segment_small_1_agent_data_out_17_hibi_mem_dma_1_hibi_data_in,
mem_burst_size_out(2 downto 0) => a2_ddr2_dimm_1GB_1_local_size_hibi_mem_dma_1_mem_burst_size_out(2 downto 0),
mem_init_done_in => a2_ddr2_dimm_1GB_1_local_init_done_hibi_mem_dma_1_mem_init_done_in,
hibi_msg_comm_out => hibi_segment_small_1_agent_msg_comm_in_17_hibi_mem_dma_1_hibi_msg_comm_out,
hibi_msg_data_in => hibi_segment_small_1_agent_msg_data_out_17_hibi_mem_dma_1_hibi_msg_data_in,
hibi_full_in => hibi_segment_small_1_agent_full_out_17_hibi_mem_dma_1_hibi_full_in,
hibi_comm_out => hibi_segment_small_1_agent_comm_in_17_hibi_mem_dma_1_hibi_comm_out,
mem_wdata_out(31 downto 0) => a2_ddr2_dimm_1GB_1_local_wdata_hibi_mem_dma_1_mem_wdata_out(31 downto 0),
hibi_msg_addr_out => hibi_segment_small_1_agent_msg_addr_in_17_hibi_mem_dma_1_hibi_msg_addr_out,
hibi_msg_comm_in => hibi_segment_small_1_agent_msg_comm_out_17_hibi_mem_dma_1_hibi_msg_comm_in,
hibi_msg_comm_out => hibi_segment_small_1_agent_msg_comm_in_17_hibi_mem_dma_1_hibi_msg_comm_out,
hibi_re_out => hibi_segment_small_1_agent_re_in_17_hibi_mem_dma_1_hibi_re_out,
hibi_addr_in(31 downto 0) => hibi_segment_small_1_agent_addr_out_17_hibi_mem_dma_1_hibi_addr_in(31 downto 0),
hibi_empty_in => hibi_segment_small_1_agent_empty_out_17_hibi_mem_dma_1_hibi_empty_in,
hibi_we_out => hibi_segment_small_1_agent_we_in_17_hibi_mem_dma_1_hibi_we_out,
mem_rd_req_out => a2_ddr2_dimm_1GB_1_local_read_req_hibi_mem_dma_1_mem_rd_req_out,
mem_rdata_in(31 downto 0) => a2_ddr2_dimm_1GB_1_local_rdata_hibi_mem_dma_1_mem_rdata_in(31 downto 0),
mem_rdata_valid_in => a2_ddr2_dimm_1GB_1_local_rdata_valid_hibi_mem_dma_1_mem_rdata_valid_in,
mem_addr_out(24 downto 0) => a2_ddr2_dimm_1GB_1_local_address_hibi_mem_dma_1_mem_addr_out(24 downto 0),
mem_be_out(3 downto 0) => a2_ddr2_dimm_1GB_1_local_be_hibi_mem_dma_1_mem_be_out(3 downto 0),
clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
mem_rd_req_out => a2_ddr2_dimm_1GB_1_local_read_req_hibi_mem_dma_1_mem_rd_req_out,
rst_n => user_pb_0,
hibi_msg_data_in => hibi_segment_small_1_agent_msg_data_out_17_hibi_mem_dma_1_hibi_msg_data_in,
mem_burst_begin_out => a2_ddr2_dimm_1GB_1_local_burstbegin_hibi_mem_dma_1_mem_burst_begin_out,
hibi_msg_data_out => hibi_segment_small_1_agent_msg_data_in_17_hibi_mem_dma_1_hibi_msg_data_out,
hibi_msg_empty_in => hibi_segment_small_1_agent_msg_empty_out_17_hibi_mem_dma_1_hibi_msg_empty_in,
mem_ready_in => a2_ddr2_dimm_1GB_1_local_ready_hibi_mem_dma_1_mem_ready_in,
mem_wdata_out(31 downto 0) => a2_ddr2_dimm_1GB_1_local_wdata_hibi_mem_dma_1_mem_wdata_out(31 downto 0),
hibi_addr_in(31 downto 0) => hibi_segment_small_1_agent_addr_out_17_hibi_mem_dma_1_hibi_addr_in(31 downto 0),
hibi_addr_out => hibi_segment_small_1_agent_addr_in_17_hibi_mem_dma_1_hibi_addr_out,
hibi_msg_addr_out => hibi_segment_small_1_agent_msg_addr_in_17_hibi_mem_dma_1_hibi_msg_addr_out,
hibi_data_in => hibi_segment_small_1_agent_data_out_17_hibi_mem_dma_1_hibi_data_in,
hibi_comm_in => hibi_segment_small_1_agent_comm_out_17_hibi_mem_dma_1_hibi_comm_in,
hibi_data_out => hibi_segment_small_1_agent_data_in_17_hibi_mem_dma_1_hibi_data_out,
mem_burst_size_out(2 downto 0) => a2_ddr2_dimm_1GB_1_local_size_hibi_mem_dma_1_mem_burst_size_out(2 downto 0),
mem_init_done_in => a2_ddr2_dimm_1GB_1_local_init_done_hibi_mem_dma_1_mem_init_done_in,
hibi_msg_empty_in => hibi_segment_small_1_agent_msg_empty_out_17_hibi_mem_dma_1_hibi_msg_empty_in,
mem_rdata_valid_in => a2_ddr2_dimm_1GB_1_local_rdata_valid_hibi_mem_dma_1_mem_rdata_valid_in,
mem_ready_in => a2_ddr2_dimm_1GB_1_local_ready_hibi_mem_dma_1_mem_ready_in);
hibi_empty_in => hibi_segment_small_1_agent_empty_out_17_hibi_mem_dma_1_hibi_empty_in,
hibi_msg_full_in => hibi_segment_small_1_agent_msg_full_out_17_hibi_mem_dma_1_hibi_msg_full_in,
hibi_msg_re_out => hibi_segment_small_1_agent_msg_re_in_17_hibi_mem_dma_1_hibi_msg_re_out,
hibi_msg_we_out => hibi_segment_small_1_agent_msg_we_in_17_hibi_mem_dma_1_hibi_msg_we_out,
clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk);
 
hibi_segment_small_1 : hibi_segment_small
port map (
rst_n => user_pb_0,
agent_data_in_17 => hibi_segment_small_1_agent_data_in_17_hibi_mem_dma_1_hibi_data_out,
agent_msg_full_out_17 => hibi_segment_small_1_agent_msg_full_out_17_hibi_mem_dma_1_hibi_msg_full_in,
agent_data_in_2 => hibi_segment_small_1_agent_data_in_2_picture_manip_1_hibi_oData,
agent_addr_in_17 => hibi_segment_small_1_agent_addr_in_17_hibi_mem_dma_1_hibi_addr_out,
agent_comm_in_2 => hibi_segment_small_1_agent_comm_in_2_picture_manip_1_hibi_oComm,
agent_comm_out_1 => hibi_segment_small_1_agent_comm_out_1_pcie_to_hibi_4x_1_hibi_comm_in,
agent_comm_out_17 => hibi_segment_small_1_agent_comm_out_17_hibi_mem_dma_1_hibi_comm_in,
agent_msg_re_in_17 => hibi_segment_small_1_agent_msg_re_in_17_hibi_mem_dma_1_hibi_msg_re_out,
agent_av_in_2 => hibi_segment_small_1_agent_av_in_2_picture_manip_1_hibi_oAv,
agent_av_out_1 => hibi_segment_small_1_agent_av_out_1_pcie_to_hibi_4x_1_hibi_av_in,
agent_av_out_2 => hibi_segment_small_1_agent_av_out_2_picture_manip_1_hibi_iAv,
agent_msg_comm_in_17 => hibi_segment_small_1_agent_msg_comm_in_17_hibi_mem_dma_1_hibi_msg_comm_out,
agent_msg_comm_out_17 => hibi_segment_small_1_agent_msg_comm_out_17_hibi_mem_dma_1_hibi_msg_comm_in,
agent_msg_data_in_17 => hibi_segment_small_1_agent_msg_data_in_17_hibi_mem_dma_1_hibi_msg_data_out,
agent_comm_in_1 => hibi_segment_small_1_agent_comm_in_1_pcie_to_hibi_4x_1_hibi_comm_out,
agent_comm_in_17 => hibi_segment_small_1_agent_comm_in_17_hibi_mem_dma_1_hibi_comm_out,
agent_msg_data_out_17 => hibi_segment_small_1_agent_msg_data_out_17_hibi_mem_dma_1_hibi_msg_data_in,
agent_msg_empty_out_17 => hibi_segment_small_1_agent_msg_empty_out_17_hibi_mem_dma_1_hibi_msg_empty_in,
agent_one_p_out_1 => hibi_segment_small_1_agent_one_p_out_1_pcie_to_hibi_4x_1_hibi_one_p_in,
agent_one_p_out_2 => hibi_segment_small_1_agent_one_p_out_2_picture_manip_1_hibi_iOne_p_left,
agent_we_in_1 => hibi_segment_small_1_agent_we_in_1_pcie_to_hibi_4x_1_hibi_we_out,
agent_we_in_17 => hibi_segment_small_1_agent_we_in_17_hibi_mem_dma_1_hibi_we_out,
agent_msg_we_in_17 => hibi_segment_small_1_agent_msg_we_in_17_hibi_mem_dma_1_hibi_msg_we_out,
agent_one_d_out_1 => hibi_segment_small_1_agent_one_d_out_1_pcie_to_hibi_4x_1_hibi_one_d_in,
agent_one_d_out_2 => hibi_segment_small_1_agent_one_d_out_2_picture_manip_1_hibi_iOne_d_left,
agent_we_in_2 => hibi_segment_small_1_agent_we_in_2_picture_manip_1_hibi_oWe,
clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
agent_full_out_2 => hibi_segment_small_1_agent_full_out_2_picture_manip_1_hibi_iFull,
agent_msg_addr_in_17 => hibi_segment_small_1_agent_msg_addr_in_17_hibi_mem_dma_1_hibi_msg_addr_out,
agent_comm_out_2 => hibi_segment_small_1_agent_comm_out_2_picture_manip_1_hibi_iComm,
agent_data_in_1 => hibi_segment_small_1_agent_data_in_1_pcie_to_hibi_4x_1_hibi_data_out,
agent_re_in_1 => hibi_segment_small_1_agent_re_in_1_pcie_to_hibi_4x_1_hibi_re_out,
agent_re_in_17 => hibi_segment_small_1_agent_re_in_17_hibi_mem_dma_1_hibi_re_out,
agent_re_in_2 => hibi_segment_small_1_agent_re_in_2_picture_manip_1_hibi_Ore,
agent_empty_out_2 => hibi_segment_small_1_agent_empty_out_2_picture_manip_1_hibi_iEmpty,
agent_full_out_1 => hibi_segment_small_1_agent_full_out_1_pcie_to_hibi_4x_1_hibi_full_in,
agent_full_out_17 => hibi_segment_small_1_agent_full_out_17_hibi_mem_dma_1_hibi_full_in,
agent_empty_out_1 => hibi_segment_small_1_agent_empty_out_1_pcie_to_hibi_4x_1_hibi_empty_in,
agent_empty_out_17 => hibi_segment_small_1_agent_empty_out_17_hibi_mem_dma_1_hibi_empty_in,
agent_msg_addr_in_17 => hibi_segment_small_1_agent_msg_addr_in_17_hibi_mem_dma_1_hibi_msg_addr_out,
agent_data_in_17 => hibi_segment_small_1_agent_data_in_17_hibi_mem_dma_1_hibi_data_out,
agent_msg_full_out_17 => hibi_segment_small_1_agent_msg_full_out_17_hibi_mem_dma_1_hibi_msg_full_in,
agent_we_in_17 => hibi_segment_small_1_agent_we_in_17_hibi_mem_dma_1_hibi_we_out,
agent_data_out_2 => hibi_segment_small_1_agent_data_out_2_picture_manip_1_hibi_iData,
agent_empty_out_2 => hibi_segment_small_1_agent_empty_out_2_picture_manip_1_hibi_iEmpty,
agent_we_in_1 => hibi_segment_small_1_agent_we_in_1_pcie_to_hibi_4x_1_hibi_we_out,
agent_we_in_2 => hibi_segment_small_1_agent_we_in_2_picture_manip_1_hibi_oWe,
clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
agent_msg_re_in_17 => hibi_segment_small_1_agent_msg_re_in_17_hibi_mem_dma_1_hibi_msg_re_out,
rst_n => user_pb_0,
agent_av_out_2 => hibi_segment_small_1_agent_av_out_2_picture_manip_1_hibi_iAv,
agent_addr_out_17 => hibi_segment_small_1_agent_addr_out_17_hibi_mem_dma_1_hibi_addr_in,
agent_av_in_1 => hibi_segment_small_1_agent_av_in_1_pcie_to_hibi_4x_1_hibi_av_out,
agent_comm_out_1 => hibi_segment_small_1_agent_comm_out_1_pcie_to_hibi_4x_1_hibi_comm_in,
agent_comm_out_17 => hibi_segment_small_1_agent_comm_out_17_hibi_mem_dma_1_hibi_comm_in,
agent_comm_out_2 => hibi_segment_small_1_agent_comm_out_2_picture_manip_1_hibi_iComm,
agent_full_out_2 => hibi_segment_small_1_agent_full_out_2_picture_manip_1_hibi_iFull,
agent_msg_we_in_17 => hibi_segment_small_1_agent_msg_we_in_17_hibi_mem_dma_1_hibi_msg_we_out,
agent_full_out_1 => hibi_segment_small_1_agent_full_out_1_pcie_to_hibi_4x_1_hibi_full_in,
agent_full_out_17 => hibi_segment_small_1_agent_full_out_17_hibi_mem_dma_1_hibi_full_in,
agent_data_in_1 => hibi_segment_small_1_agent_data_in_1_pcie_to_hibi_4x_1_hibi_data_out,
agent_msg_comm_in_17 => hibi_segment_small_1_agent_msg_comm_in_17_hibi_mem_dma_1_hibi_msg_comm_out,
agent_msg_comm_out_17 => hibi_segment_small_1_agent_msg_comm_out_17_hibi_mem_dma_1_hibi_msg_comm_in,
agent_comm_in_2 => hibi_segment_small_1_agent_comm_in_2_picture_manip_1_hibi_oComm,
agent_data_out_1 => hibi_segment_small_1_agent_data_out_1_pcie_to_hibi_4x_1_hibi_data_in,
agent_data_out_17 => hibi_segment_small_1_agent_data_out_17_hibi_mem_dma_1_hibi_data_in,
agent_msg_data_in_17 => hibi_segment_small_1_agent_msg_data_in_17_hibi_mem_dma_1_hibi_msg_data_out,
agent_msg_data_out_17 => hibi_segment_small_1_agent_msg_data_out_17_hibi_mem_dma_1_hibi_msg_data_in,
agent_msg_empty_out_17 => hibi_segment_small_1_agent_msg_empty_out_17_hibi_mem_dma_1_hibi_msg_empty_in,
agent_data_in_2 => hibi_segment_small_1_agent_data_in_2_picture_manip_1_hibi_oData,
agent_data_out_1 => hibi_segment_small_1_agent_data_out_1_pcie_to_hibi_4x_1_hibi_data_in,
agent_av_out_1 => hibi_segment_small_1_agent_av_out_1_pcie_to_hibi_4x_1_hibi_av_in,
agent_addr_in_17 => hibi_segment_small_1_agent_addr_in_17_hibi_mem_dma_1_hibi_addr_out,
agent_one_d_out_1 => hibi_segment_small_1_agent_one_d_out_1_pcie_to_hibi_4x_1_hibi_one_d_in,
agent_one_p_out_1 => hibi_segment_small_1_agent_one_p_out_1_pcie_to_hibi_4x_1_hibi_one_p_in);
agent_data_out_2 => hibi_segment_small_1_agent_data_out_2_picture_manip_1_hibi_iData);
 
pcie_to_hibi_4x_1 : pcie_to_hibi_4x
port map (
pcie_ref_clk => pcie_refclk_p,
pcie_rst_n => user_pb_0,
pcie_rx(3 downto 0) => pcie_rx_p(3 downto 0),
hibi_av_in => hibi_segment_small_1_agent_av_out_1_pcie_to_hibi_4x_1_hibi_av_in,
hibi_av_out => hibi_segment_small_1_agent_av_in_1_pcie_to_hibi_4x_1_hibi_av_out,
hibi_full_in => hibi_segment_small_1_agent_full_out_1_pcie_to_hibi_4x_1_hibi_full_in,
hibi_one_d_in => hibi_segment_small_1_agent_one_d_out_1_pcie_to_hibi_4x_1_hibi_one_d_in,
hibi_one_p_in => hibi_segment_small_1_agent_one_p_out_1_pcie_to_hibi_4x_1_hibi_one_p_in,
hibi_av_in => hibi_segment_small_1_agent_av_out_1_pcie_to_hibi_4x_1_hibi_av_in,
hibi_comm_in => hibi_segment_small_1_agent_comm_out_1_pcie_to_hibi_4x_1_hibi_comm_in,
hibi_comm_out => hibi_segment_small_1_agent_comm_in_1_pcie_to_hibi_4x_1_hibi_comm_out,
rst_n => user_pb_0,
hibi_data_in => hibi_segment_small_1_agent_data_out_1_pcie_to_hibi_4x_1_hibi_data_in,
hibi_re_out => hibi_segment_small_1_agent_re_in_1_pcie_to_hibi_4x_1_hibi_re_out,
hibi_we_out => hibi_segment_small_1_agent_we_in_1_pcie_to_hibi_4x_1_hibi_we_out,
clk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
pcie_tx(3 downto 0) => pcie_tx_p(3 downto 0),
hibi_full_in => hibi_segment_small_1_agent_full_out_1_pcie_to_hibi_4x_1_hibi_full_in,
hibi_re_out => hibi_segment_small_1_agent_re_in_1_pcie_to_hibi_4x_1_hibi_re_out,
pcie_ref_clk => pcie_refclk_p,
pcie_rx(3 downto 0) => pcie_rx_p(3 downto 0),
hibi_data_out => hibi_segment_small_1_agent_data_in_1_pcie_to_hibi_4x_1_hibi_data_out,
hibi_empty_in => hibi_segment_small_1_agent_empty_out_1_pcie_to_hibi_4x_1_hibi_empty_in,
hibi_we_out => hibi_segment_small_1_agent_we_in_1_pcie_to_hibi_4x_1_hibi_we_out,
hibi_av_out => hibi_segment_small_1_agent_av_in_1_pcie_to_hibi_4x_1_hibi_av_out,
hibi_comm_in => hibi_segment_small_1_agent_comm_out_1_pcie_to_hibi_4x_1_hibi_comm_in);
pcie_tx(3 downto 0) => pcie_tx_p(3 downto 0),
rst_n => user_pb_0);
 
picture_manip_1 : picture_manip
port map (
sys_iClk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
sys_iReset_n => user_pb_0,
hibi_Ore => hibi_segment_small_1_agent_re_in_2_picture_manip_1_hibi_Ore,
hibi_iAv => hibi_segment_small_1_agent_av_out_2_picture_manip_1_hibi_iAv,
hibi_iFull => hibi_segment_small_1_agent_full_out_2_picture_manip_1_hibi_iFull,
hibi_iOne_d_left => hibi_segment_small_1_agent_one_d_out_2_picture_manip_1_hibi_iOne_d_left,
hibi_iComm(2 downto 0) => hibi_segment_small_1_agent_comm_out_2_picture_manip_1_hibi_iComm(2 downto 0),
hibi_iData(31 downto 0) => hibi_segment_small_1_agent_data_out_2_picture_manip_1_hibi_iData(31 downto 0),
hibi_iEmpty => hibi_segment_small_1_agent_empty_out_2_picture_manip_1_hibi_iEmpty,
hibi_iFull => hibi_segment_small_1_agent_full_out_2_picture_manip_1_hibi_iFull,
hibi_oData(31 downto 0) => hibi_segment_small_1_agent_data_in_2_picture_manip_1_hibi_oData(31 downto 0),
hibi_oWe => hibi_segment_small_1_agent_we_in_2_picture_manip_1_hibi_oWe,
sys_iClk => a2_ddr2_dimm_1GB_1_phy_clk_picture_manip_1_sys_iClk,
hibi_iOne_p_left => hibi_segment_small_1_agent_one_p_out_2_picture_manip_1_hibi_iOne_p_left,
hibi_oAv => hibi_segment_small_1_agent_av_in_2_picture_manip_1_hibi_oAv,
hibi_iComm(2 downto 0) => hibi_segment_small_1_agent_comm_out_2_picture_manip_1_hibi_iComm(2 downto 0),
hibi_oWe => hibi_segment_small_1_agent_we_in_2_picture_manip_1_hibi_oWe,
hibi_oComm(2 downto 0) => hibi_segment_small_1_agent_comm_in_2_picture_manip_1_hibi_oComm(2 downto 0),
hibi_oData(31 downto 0) => hibi_segment_small_1_agent_data_in_2_picture_manip_1_hibi_oData(31 downto 0));
sys_iReset_n => user_pb_0,
hibi_Ore => hibi_segment_small_1_agent_re_in_2_picture_manip_1_hibi_Ore,
hibi_iAv => hibi_segment_small_1_agent_av_out_2_picture_manip_1_hibi_iAv);
 
end structural;
 
/trunk/TUT/soc/arria_ii_gx_demo_soc/1.0/arria_ii_gx_demo_soc.nios_picture_manipulator.design.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:48:10 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 12:03:32 ke marras 9 2011-->
<spirit:design>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
/trunk/TUT/soc/arria_ii_gx_demo_soc/1.0/arria_ii_gx_demo_soc.nios_picture_manipulator.designcfg.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:48:10 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 12:03:32 ke marras 9 2011-->
<spirit:designConfiguration>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
/trunk/TUT/soc/arria_ii_gx_demo_soc/1.0/arria_ii_gx_demo_soc.design.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:44:47 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 13:34:49 ke marras 9 2011-->
<spirit:design>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
204,8 → 204,8
<kactus2:direction x="1" y="0"/>
<kactus2:route>
<kactus2:position x="430" y="660"/>
<kactus2:position x="420" y="660"/>
<kactus2:position x="420" y="100"/>
<kactus2:position x="120" y="660"/>
<kactus2:position x="120" y="100"/>
<kactus2:position x="60" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
217,8 → 217,8
<kactus2:direction x="1" y="0"/>
<kactus2:route>
<kactus2:position x="430" y="310"/>
<kactus2:position x="420" y="310"/>
<kactus2:position x="420" y="100"/>
<kactus2:position x="120" y="310"/>
<kactus2:position x="120" y="100"/>
<kactus2:position x="60" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
230,8 → 230,8
<kactus2:direction x="1" y="0"/>
<kactus2:route>
<kactus2:position x="430" y="510"/>
<kactus2:position x="420" y="510"/>
<kactus2:position x="420" y="100"/>
<kactus2:position x="120" y="510"/>
<kactus2:position x="120" y="100"/>
<kactus2:position x="60" y="100"/>
</kactus2:route>
</spirit:vendorExtensions>
/trunk/TUT/soc/arria_ii_gx_demo_soc/1.0/arria_ii_gx_demo_soc.designcfg.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:44:47 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 13:34:49 ke marras 9 2011-->
<spirit:designConfiguration>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>soc</spirit:library>
/trunk/TUT/board/arria_ii_board/1.0/arria_ii_board.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:49:24 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 13:36:15 ke marras 9 2011-->
<spirit:component>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/trunk/TUT/board/arria_ii_board/1.0/arria_ii_board.design.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:49:24 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 13:36:15 ke marras 9 2011-->
<spirit:design>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/trunk/TUT/board/arria_ii_board/1.0/arria_ii_board.designcfg.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:49:24 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 13:36:15 ke marras 9 2011-->
<spirit:designConfiguration>
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>board</spirit:library>
/trunk/TUT/board/pc_board/1.0/pc_board.1.0.xml
16,6 → 16,17
<spirit:endianness>little</spirit:endianness>
</spirit:busInterface>
</spirit:busInterfaces>
<spirit:addressSpaces>
<spirit:addressSpace>
<spirit:name>program_mem</spirit:name>
<spirit:range>1</spirit:range>
<spirit:width>32</spirit:width>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:localMemoryMap>
<spirit:name>prg_mem_block</spirit:name>
</spirit:localMemoryMap>
</spirit:addressSpace>
</spirit:addressSpaces>
<spirit:model>
<spirit:views>
<spirit:view>
24,6 → 35,12
</spirit:view>
</spirit:views>
</spirit:model>
<spirit:cpus>
<spirit:cpu>
<spirit:name>nios</spirit:name>
<spirit:addressSpaceRef spirit:addressSpaceRef="program_mem"/>
</spirit:cpu>
</spirit:cpus>
<spirit:vendorExtensions>
<kactus2:extensions>
<kactus2:kts_attributes>
/trunk/TUT/ip.hwp.accelerator/picture_manip/1.0/picture_manip.1.0.xml
1,5 → 1,5
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 10:42:01 ti marras 8 2011-->
<!--Created by Kactus 2 document generator 10:33:12 ke marras 9 2011-->
<spirit:component xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.accelerator</spirit:library>
170,7 → 170,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_D_TO_IP</spirit:name>
<spirit:name>RE_FROM_IP</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
177,7 → 177,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>hibi_iOne_d</spirit:name>
<spirit:name>hibi_Ore</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
186,7 → 186,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>ONE_P_TO_IP</spirit:name>
<spirit:name>WE_FROM_IP</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
193,7 → 193,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>hibi_iOne_p</spirit:name>
<spirit:name>hibi_oWe</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
202,7 → 202,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>RE_FROM_IP</spirit:name>
<spirit:name>ONE_D_TO_IP</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
209,7 → 209,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>hibi_Ore</spirit:name>
<spirit:name>hibi_iOne_d_left</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
218,7 → 218,7
</spirit:portMap>
<spirit:portMap>
<spirit:logicalPort>
<spirit:name>WE_FROM_IP</spirit:name>
<spirit:name>ONE_P_TO_IP</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
225,7 → 225,7
</spirit:vector>
</spirit:logicalPort>
<spirit:physicalPort>
<spirit:name>hibi_oWe</spirit:name>
<spirit:name>hibi_iOne_p_left</spirit:name>
<spirit:vector>
<spirit:left>0</spirit:left>
<spirit:right>0</spirit:right>
273,6 → 273,11
<spirit:localName>hdlSources</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<spirit:view>
<spirit:name>kts_sw_ref</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="picture_manip_swmap.design" spirit:version="1.0"/>
</spirit:view>
</spirit:views>
<spirit:ports>
<spirit:port>
/trunk/TUT/ip.hwp.communication/hibi/interface_definitions/hibi_ip_r4.absdef/3.0/hibi_ip_r4.absdef.3.0.xml
1,136 → 1,136
<spirit:abstractionDefinition xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
<spirit:name>hibi_ip_r4.absdef</spirit:name>
<spirit:version>3.0</spirit:version>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:ports>
<spirit:port>
<spirit:logicalName>COMM_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>5</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>AV_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>WE_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>RE_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>COMM_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>5</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0x0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>DATA_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>32</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0x0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>DATA_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>32</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>AV_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>FULL_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>ONE_P_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>EMPTY_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>ONE_D_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
</spirit:ports>
</spirit:abstractionDefinition>
<?xml version="1.0" encoding="UTF-8"?>
<!--Created by Kactus 2 document generator 14:00:13 ke marras 9 2011-->
<spirit:abstractionDefinition xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
<spirit:vendor>TUT</spirit:vendor>
<spirit:library>ip.hwp.communication</spirit:library>
<spirit:name>hibi_ip_r4.absdef</spirit:name>
<spirit:version>3.0</spirit:version>
<spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
<spirit:ports>
<spirit:port>
<spirit:logicalName>AV_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>AV_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>COMM_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>5</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>COMM_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>5</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>DATA_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>32</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>DATA_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>32</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>EMPTY_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>FULL_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>ONE_D_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>ONE_P_TO_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>in</spirit:direction>
</spirit:onMaster>
<spirit:defaultValue>0</spirit:defaultValue>
</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>RE_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
<spirit:port>
<spirit:logicalName>WE_FROM_IP</spirit:logicalName>
<spirit:wire>
<spirit:onMaster>
<spirit:presence>optional</spirit:presence>
<spirit:width>1</spirit:width>
<spirit:direction>out</spirit:direction>
</spirit:onMaster>
<spirit:requiresDriver spirit:driverType="any"/>false</spirit:wire>
</spirit:port>
</spirit:ports>
</spirit:abstractionDefinition>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.