OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /gecko3/trunk
    from Rev 25 to Rev 26
    Reverse comparison

Rev 25 → Rev 26

/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_prototype.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_fsm.vhd
57,6 → 57,7
i_receive_fifo_full : in std_logic;
o_receive_fifo_reset : out std_logic;
o_receive_transfersize_en : out std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
i_receive_transfersize_lsb : in std_logic;
o_receive_counter_load : out std_logic;
o_receive_counter_en : out std_logic;
i_receive_counter_zero : in std_logic;
96,8 → 97,8
attribute safe_implementation : string;
attribute safe_recovery_state : string;
 
type state_type is (st1_idle, st2_abort, st3_read_msg_id, st4_read_nbtag,
st5_check_btag, st6_read_transfer_size_low,
type state_type is (st1_idle, st2_abort, st3_read_msg_id, st4_check_msg_id,
st5_read_nbtag, st6_read_transfer_size_low,
st7_read_transfer_size_high, st8_check_attributes,
st9_signal_data_request, st10_signal_receive_new_data,
st11_receive_data, st12_receive_wait,
105,7 → 106,7
st15_start_response, st16_send_msg_id,
st17_send_nbtag, st18_send_transfer_size_low,
st19_send_transfer_size_high, st20_send_attributes,
st21_load_counter, st22_send_data, st23_send_wait,
st21_send_reserved, st22_send_data, st23_send_wait,
st24_wait_for_send_end);
signal state, next_state : state_type;
 
136,6 → 137,27
 
begin -- fsm
 
o_receive_fifo_wr_en <= s_receive_fifo_wr_en;
o_receive_fifo_reset <= s_receive_fifo_reset;
o_receive_transfersize_en <= s_receive_transfersize_en;
o_receive_counter_load <= s_receive_counter_load;
o_receive_counter_en <= s_receive_counter_en;
o_btag_reg_en <= s_btag_reg_en;
o_nbtag_reg_en <= s_nbtag_reg_en;
o_send_fifo_rd_en <= s_send_fifo_rd_en;
o_send_fifo_reset <= s_send_fifo_reset;
o_send_counter_load <= s_send_counter_load;
o_send_counter_en <= s_send_counter_en;
o_send_mux_sel <= s_send_mux_sel;
o_send_finished <= s_send_finished;
o_receive_newdata_set <= s_receive_newdata_set;
o_receive_end_of_message_set <= s_receive_end_of_message_set;
o_send_data_request_set <= s_send_data_request_set;
o_gpif_eom <= s_gpif_eom;
o_gpif_rx_rd_en <= s_gpif_rx_rd_en;
o_gpif_tx_wr_en <= s_gpif_tx_wr_en;
 
SYNC_PROC : process (i_sysclk)
begin
142,49 → 164,8
if (i_sysclk'event and i_sysclk = '1') then
if (i_nReset = '0') then
state <= st1_idle;
 
o_receive_fifo_wr_en <= '0';
o_receive_fifo_reset <= '1';
o_receive_transfersize_en <= (others => '0');
o_receive_counter_load <= '0';
o_receive_counter_en <= '0';
o_btag_reg_en <= '0';
o_nbtag_reg_en <= '0';
o_send_fifo_rd_en <= '0';
o_send_fifo_reset <= '1';
o_send_counter_load <= '0';
o_send_counter_en <= '0';
o_send_mux_sel <= (others => '0');
o_send_finished <= '0';
o_receive_newdata_set <= '0';
o_receive_end_of_message_set <= '0';
o_send_data_request_set <= '0';
o_gpif_eom <= '0';
o_gpif_rx_rd_en <= '0';
o_gpif_tx_wr_en <= '0';
else
state <= next_state;
 
o_receive_fifo_wr_en <= s_receive_fifo_wr_en;
o_receive_fifo_reset <= s_receive_fifo_reset;
o_receive_transfersize_en <= s_receive_transfersize_en;
o_receive_counter_load <= s_receive_counter_load;
o_receive_counter_en <= s_receive_counter_en;
o_btag_reg_en <= s_btag_reg_en;
o_nbtag_reg_en <= s_nbtag_reg_en;
o_send_fifo_rd_en <= s_send_fifo_rd_en;
o_send_fifo_reset <= s_send_fifo_reset;
o_send_counter_load <= s_send_counter_load;
o_send_counter_en <= s_send_counter_en;
o_send_mux_sel <= s_send_mux_sel;
o_send_finished <= s_send_finished;
o_receive_newdata_set <= s_receive_newdata_set;
o_receive_end_of_message_set <= s_receive_end_of_message_set;
o_send_data_request_set <= s_send_data_request_set;
o_gpif_eom <= s_gpif_eom;
o_gpif_rx_rd_en <= s_gpif_rx_rd_en;
o_gpif_tx_wr_en <= s_gpif_tx_wr_en;
end if;
end if;
end process;
192,11 → 173,12
--MEALY State-Machine - Outputs based on state and inputs
OUTPUT_DECODE : process (state, i_receive_fifo_full,
i_receive_counter_zero, i_dev_dep_msg_out,
i_request_dev_dep_msg_in, i_btag_correct,
i_request_dev_dep_msg_in, --i_btag_correct,
i_eom_bit_detected, i_send_transfersize_en,
i_send_fifo_empty, i_send_counter_zero,
i_gpif_rx, i_gpif_rx_empty, i_gpif_tx,
i_gpif_tx_full, i_gpif_abort)
i_gpif_tx_full, i_gpif_abort,
i_receive_transfersize_lsb)
begin
 
s_receive_fifo_wr_en <= '0';
233,17 → 215,26
s_receive_transfersize_en <= "10";
end if;
 
if state = st10_signal_receive_new_data then
if state = st8_check_attributes and
i_dev_dep_msg_out = '1' and
i_gpif_rx_empty = '0'
then
s_receive_counter_load <= '1';
end if;
 
if (state = st10_signal_receive_new_data and
i_gpif_rx_empty = '0' and
i_receive_fifo_full = '0')
or (state = st11_receive_data)
i_receive_fifo_full = '0' and
i_receive_transfersize_lsb = '0') -- if it is '1' then we have to read
-- one time more from the fifo (which
-- is 16bit wide)
or (state = st11_receive_data and
i_receive_counter_zero = '0' and
i_gpif_rx_empty = '0' and
i_receive_fifo_full = '0')
or (state = st12_receive_wait and
i_gpif_rx_empty = '0' and
i_receive_fifo_full = '1')
i_receive_fifo_full = '0')
then
s_receive_counter_en <= '1';
end if;
252,14 → 243,17
s_btag_reg_en <= '1';
end if;
if state = st4_read_nbtag then
if state = st5_read_nbtag then
s_nbtag_reg_en <= '1';
end if;
 
if (state = st21_load_counter and
if (state = st21_send_reserved and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
or state = st22_send_data
or (state = st22_send_data and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0' and
i_send_counter_zero = '0')
or (state = st23_send_wait and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
271,15 → 265,19
s_send_fifo_reset <= '1';
end if;
 
if state = st21_load_counter then
if state = st20_send_attributes then
s_send_counter_load <= '1';
end if;
 
if (state = st21_load_counter and i_gpif_tx_full = '0' and
i_send_fifo_empty = '0') or
state = st22_send_data or
(state = st23_send_wait and i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
if (state = st21_send_reserved and i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
or (state = st22_send_data and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0' and
i_send_counter_zero = '0')
or (state = st23_send_wait and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
then
s_send_counter_en <= '1';
end if;
294,8 → 292,10
s_send_mux_sel <= "011";
elsif state = st20_send_attributes then
s_send_mux_sel <= "100";
elsif state = st21_load_counter then
elsif state = st21_send_reserved then
s_send_mux_sel <= "101";
elsif state = st22_send_data or state = st23_send_wait then
s_send_mux_sel <= "110";
end if;
 
if state = st24_wait_for_send_end and i_gpif_tx = '0' then
314,21 → 314,30
s_send_data_request_set <= '1';
end if;
if state = st22_send_data and i_send_counter_zero = '1' then
if (state = st22_send_data and i_send_counter_zero = '1')
or state = st24_wait_for_send_end
then
s_gpif_eom <= '1';
end if;
if (i_gpif_rx_empty = '0' and
(state = st1_idle or
state = st3_read_msg_id or
state = st4_read_nbtag or
state = st5_check_btag or
state = st5_read_nbtag or
state = st6_read_transfer_size_low or
state = st7_read_transfer_size_high or
state = st8_check_attributes))
or (state = st4_check_msg_id and
i_gpif_rx_empty = '0' and
(i_dev_dep_msg_out = '1' or i_request_dev_dep_msg_in = '1'))
or ((state = st10_signal_receive_new_data or state = st12_receive_wait)
and i_gpif_rx_empty = '0' and i_receive_fifo_full = '0')
or state = st11_receive_data
or (state = st11_receive_data and
i_receive_counter_zero = '0' and
i_gpif_rx_empty = '0' and
i_receive_fifo_full = '0')
or (state = st12_receive_wait and
i_gpif_rx_empty = '0' and
i_receive_fifo_full = '0')
or (state = st14_read_align_bytes and i_gpif_rx_empty = '0')
then
s_gpif_rx_rd_en <= '1';
340,7 → 349,7
state = st18_send_transfer_size_low or
state = st19_send_transfer_size_high or
state = st20_send_attributes or
state = st21_load_counter))
state = st21_send_reserved))
or state = st22_send_data
then
s_gpif_tx_wr_en <= '1';
370,32 → 379,32
next_state <= st1_idle;
when st3_read_msg_id =>
next_state <= st4_check_msg_id;
 
when st4_check_msg_id =>
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_gpif_rx_empty = '0' then
next_state <= st4_read_nbtag;
elsif i_dev_dep_msg_out = '0' and i_request_dev_dep_msg_in = '0' then
next_state <= st1_idle;
elsif i_gpif_rx_empty = '0' and
(i_dev_dep_msg_out = '1' or i_request_dev_dep_msg_in = '1')
then
next_state <= st5_read_nbtag;
end if;
when st4_read_nbtag =>
when st5_read_nbtag =>
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_gpif_rx_empty = '0' then
next_state <= st5_check_btag;
next_state <= st6_read_transfer_size_low;
end if;
 
when st5_check_btag =>
when st6_read_transfer_size_low =>
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_btag_correct = '0' then
next_state <= st1_idle;
elsif i_gpif_rx_empty = '0' then
next_state <= st6_read_transfer_size_low;
end if;
 
when st6_read_transfer_size_low =>
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_gpif_rx_empty = '0' then
elsif i_gpif_rx_empty = '0' and i_btag_correct = '1' then
next_state <= st7_read_transfer_size_high;
end if;
 
409,6 → 418,8
when st8_check_attributes =>
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_dev_dep_msg_out = '0' and i_request_dev_dep_msg_in = '0' then
next_state <= st1_idle;
elsif i_gpif_rx_empty = '0' and i_request_dev_dep_msg_in = '1' then
next_state <= st9_signal_data_request;
elsif i_gpif_rx_empty = '0' and i_dev_dep_msg_out = '1' then
433,7 → 444,8
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_receive_counter_zero = '1' then
next_state <= st13_wait_for_receive_end;
--next_state <= st13_wait_for_receive_end;
next_state <= st1_idle;
elsif i_gpif_rx_empty = '1' or i_receive_fifo_full = '1' then
next_state <= st12_receive_wait;
end if;
498,10 → 510,10
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_gpif_tx_full = '0' then
next_state <= st21_load_counter;
next_state <= st21_send_reserved;
end if;
 
when st21_load_counter =>
when st21_send_reserved =>
if i_gpif_abort = '1' then
next_state <= st2_abort;
elsif i_gpif_tx_full = '0' and i_send_fifo_empty = '0' then
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_datapath.vhd
61,23 → 61,24
i_rx_data : in std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
o_tx_data : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
 
i_receive_fifo_rd_en : in std_logic;
i_receive_fifo_wr_en : in std_logic;
o_receive_fifo_empty : out std_logic;
o_receive_fifo_full : out std_logic;
o_receive_fifo_data : out std_logic_vector(BUSWIDTH-1 downto 0);
i_receive_fifo_reset : in std_logic;
o_receive_transfersize : out std_logic_vector(31 downto 0);
i_receive_transfersize_en : in std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
i_receive_counter_load : in std_logic;
i_receive_counter_en : in std_logic;
o_receive_counter_zero : out std_logic;
o_dev_dep_msg_out : out std_logic;
o_request_dev_dep_msg_in : out std_logic;
i_btag_reg_en : in std_logic;
i_nbtag_reg_en : in std_logic;
o_btag_correct : out std_logic;
o_eom_bit_detected : out std_logic;
i_receive_fifo_rd_en : in std_logic;
i_receive_fifo_wr_en : in std_logic;
o_receive_fifo_empty : out std_logic;
o_receive_fifo_full : out std_logic;
o_receive_fifo_data : out std_logic_vector(BUSWIDTH-1 downto 0);
i_receive_fifo_reset : in std_logic;
o_receive_transfersize : out std_logic_vector(31 downto 0);
i_receive_transfersize_en : in std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
o_receive_transfersize_lsb : out std_logic;
i_receive_counter_load : in std_logic;
i_receive_counter_en : in std_logic;
o_receive_counter_zero : out std_logic;
o_dev_dep_msg_out : out std_logic;
o_request_dev_dep_msg_in : out std_logic;
i_btag_reg_en : in std_logic;
i_nbtag_reg_en : in std_logic;
o_btag_correct : out std_logic;
o_eom_bit_detected : out std_logic;
 
i_send_fifo_rd_en : in std_logic;
i_send_fifo_wr_en : in std_logic;
112,14 → 113,14
generic (
BUSWIDTH : integer);
port (
i_din : in std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
i_clk : in std_logic;
i_rd_en : in std_logic;
i_rst : in std_logic;
i_wr_en : in std_logic;
o_dout : out std_logic_vector(BUSWIDTH-1 downto 0);
o_empty : out std_logic;
o_full : out std_logic);
i_din : in std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
i_clk : in std_logic;
i_rd_en : in std_logic;
i_rst : in std_logic;
i_wr_en : in std_logic;
o_dout : out std_logic_vector(BUSWIDTH-1 downto 0);
o_empty : out std_logic;
o_full : out std_logic);
end component;
 
component send_fifo
126,14 → 127,14
generic (
BUSWIDTH : integer);
port (
i_din : in std_logic_vector(BUSWIDTH-1 downto 0);
i_clk : in std_logic;
i_rd_en : in std_logic;
i_rst : in std_logic;
i_wr_en : in std_logic;
o_dout : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
o_empty : out std_logic;
o_full : out std_logic);
i_din : in std_logic_vector(BUSWIDTH-1 downto 0);
i_clk : in std_logic;
i_rd_en : in std_logic;
i_rst : in std_logic;
i_wr_en : in std_logic;
o_dout : out std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
o_empty : out std_logic;
o_full : out std_logic);
end component;
 
 
141,16 → 142,16
-- interconection signals
-----------------------------------------------------------------------------
 
signal s_receive_transfersize : std_logic_vector(31 downto 0);
signal s_send_transfersize_reg: std_logic_vector(31 downto 0);
signal s_receive_transfersize : std_logic_vector(31 downto 0);
signal s_send_transfersize_reg : std_logic_vector(31 downto 0);
 
signal s_receive_transfersize_count: std_logic_vector(31 downto 0);
signal s_send_transfersize_count: std_logic_vector(31 downto 0);
signal s_receive_transfersize_count : std_logic_vector(30 downto 0);
signal s_send_transfersize_count : std_logic_vector(30 downto 0);
 
signal s_receive_fifo_empty : std_logic;
 
signal s_send_fifo_data : std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
signal s_btag, s_nbtag, s_msg_id: std_logic_vector(7 downto 0);
signal s_send_fifo_data : std_logic_vector(SIZE_DBUS_GPIF-1 downto 0);
signal s_btag, s_nbtag, s_msg_id : std_logic_vector(7 downto 0);
 
begin -- behaviour
 
187,7 → 188,7
-- or 16 bit wide input data.
-- type : sequential
-- inputs : i_sysclk, i_nReset, i_rx_data, i_receive_transfersize_en
receive_transfersize: process (i_sysclk, i_nReset)
receive_transfersize : process (i_sysclk, i_nReset)
begin -- process registers
if i_nReset = '0' then -- asynchronous reset (active low)
s_receive_transfersize <= (others => '0');
202,12 → 203,13
end process receive_transfersize;
 
o_receive_transfersize <= s_receive_transfersize;
o_receive_transfersize_lsb <= s_receive_transfersize(0);
 
 
-- purpose: 32 bit send_transfersize register
-- type : sequential
-- inputs : i_sysclk, i_nReset, i_send_transfersize, i_receive_transfersize_en
send_transfersize: process (i_sysclk, i_nReset)
send_transfersize : process (i_sysclk, i_nReset)
begin -- process registers
if i_nReset = '0' then -- asynchronous reset (active low)
s_send_transfersize_reg <= (others => '0');
218,7 → 220,7
end if;
end process send_transfersize;
 
 
-- purpose: down counter for the receive transfer size
-- type : sequential
-- inputs : i_sysclk, i_nReset, s_reveive_transfersize,
230,17 → 232,18
s_receive_transfersize_count <= (others => '0');
elsif i_sysclk'event and i_sysclk = '1' then -- rising clock edge
if i_receive_counter_load = '1' then
s_receive_transfersize_count <= s_receive_transfersize;
end if;
if i_receive_counter_en = '1' then
s_receive_transfersize_count <= s_receive_transfersize(31 downto 1);
elsif i_receive_counter_en = '1' then
s_receive_transfersize_count <= s_receive_transfersize_count - 1;
else
s_receive_transfersize_count <= s_receive_transfersize_count;
end if;
end if;
end process receive_counter;
 
o_receive_counter_zero <=
'1' when s_receive_transfersize_count = x"0000" else
'0';
'1' when s_receive_transfersize_count = "000000000000000000000000000000"
else '0';
 
 
-- purpose: down counter for the send transfer size
254,17 → 257,18
s_send_transfersize_count <= (others => '0');
elsif i_sysclk'event and i_sysclk = '1' then -- rising clock edge
if i_send_counter_load = '1' then
s_send_transfersize_count <= s_send_transfersize_reg;
end if;
if i_send_counter_en = '1' then
s_send_transfersize_count <= s_send_transfersize_reg(31 downto 1);
elsif i_send_counter_en = '1' then
s_send_transfersize_count <= s_send_transfersize_count - 1;
else
s_send_transfersize_count <= s_send_transfersize_count;
end if;
end if;
end process send_counter;
 
o_send_counter_zero <=
'1' when s_send_transfersize_count = x"0000" else
'0';
'1' when s_send_transfersize_count = "000000000000000000000000000000"
else '0';
 
 
-- purpose: registers to store the btag and inverse btag
275,20 → 279,20
btag_register : process (i_sysclk, i_nReset)
begin -- process btag_register
if i_nReset = '0' then -- asynchronous reset (active low)
s_btag <= (others => '0');
s_btag <= (others => '0');
s_msg_id <= (others => '0');
s_nbtag <= (others => '0');
s_nbtag <= (others => '0');
elsif i_sysclk'event and i_sysclk = '1' then -- rising clock edge
if i_btag_reg_en = '1' then
s_btag <= i_rx_data(15 downto 8);
s_btag <= i_rx_data(15 downto 8);
s_msg_id <= i_rx_data(7 downto 0);
end if;
if i_nbtag_reg_en = '1' then
if i_nbtag_reg_en = '1' then
s_nbtag <= i_rx_data(7 downto 0);
end if;
end if;
end process btag_register;
 
o_btag_correct <=
'1' when s_btag = not s_nbtag else
'0';
303,7 → 307,7
'0';
 
o_eom_bit_detected <=
'1' when i_rx_data(15 downto 8) = b"00000001" else
'1' when i_rx_data(7 downto 0) = b"00000001" else
'0';
 
 
312,19 → 316,19
-- inputs : i_send_mux_sel, i_send_have_more_data, s_btag, s_nbtag,
-- s_send_fifo_data, s_send_transfersize_reg
-- outputs: o_tx_data
tx_data_mux: process (i_send_mux_sel, i_send_have_more_data, s_btag,
tx_data_mux : process (i_send_mux_sel, i_send_have_more_data, s_btag,
s_nbtag, s_send_fifo_data, s_send_transfersize_reg)
begin -- process tx_data_mux
case i_send_mux_sel is
when "000" => o_tx_data <= x"02" & s_btag; -- MsgID and stored bTag
when "001" => o_tx_data <= s_nbtag & x"00"; -- inverted bTag and Reserved
when "010" => o_tx_data <= s_send_transfersize_reg(15 downto 0);
when "011" => o_tx_data <= s_send_transfersize_reg(31 downto 16);
when "000" => o_tx_data <= s_btag & s_msg_id; -- MsgID and stored bTag
when "001" => o_tx_data <= x"00" & s_nbtag; -- inverted bTag and Reserved
when "010" => o_tx_data <= s_send_transfersize_reg(15 downto 0);
when "011" => o_tx_data <= s_send_transfersize_reg(31 downto 16);
--TransferAttributes EOM bit:
when "100" => o_tx_data <= b"000000000000000" & i_send_have_more_data;
when "101" => o_tx_data <= x"0000"; -- Header byte 10 and 11, Reserved
when "110" => o_tx_data <= s_send_fifo_data; -- message data
when others => o_tx_data <= s_send_fifo_data;
when "100" => o_tx_data <= b"000000000000000" & not i_send_have_more_data;
when "101" => o_tx_data <= x"0000"; -- Header byte 10 and 11, Reserved
when "110" => o_tx_data <= s_send_fifo_data; -- message data
when others => o_tx_data <= s_btag & s_msg_id; -- MsgID and stored bTag
end case;
end process tx_data_mux;
 
368,5 → 372,5
end if;
end process gecko3com_simple_flags;
 
end behaviour;
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.cdc
0,0 → 1,197
#ChipScope Core Inserter Project File Version 3.0
#Fri Feb 19 13:36:39 CET 2010
Project.device.designInputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.designOutputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.deviceFamily=6
Project.device.enableRPMs=true
Project.device.outputDirectory=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/_ngo
Project.device.useSRL16=true
Project.filter.dimension=1
Project.filter<0>=
Project.icon.boundaryScanChain=1
Project.icon.disableBUFGInsertion=false
Project.icon.enableExtTriggerIn=false
Project.icon.enableExtTriggerOut=false
Project.icon.triggerInPinName=
Project.icon.triggerOutPinName=
Project.unit.dimension=1
Project.unit<0>.clockChannel=i_sysclk_BUFGP
Project.unit<0>.clockEdge=Rising
Project.unit<0>.dataChannel<0>=GECKO3COM_simple_1 i_nReset
Project.unit<0>.dataChannel<100>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_9
Project.unit<0>.dataChannel<101>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_10
Project.unit<0>.dataChannel<102>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_11
Project.unit<0>.dataChannel<103>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_12
Project.unit<0>.dataChannel<104>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_13
Project.unit<0>.dataChannel<105>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_14
Project.unit<0>.dataChannel<106>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_15
Project.unit<0>.dataChannel<107>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_16
Project.unit<0>.dataChannel<108>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_17
Project.unit<0>.dataChannel<109>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_18
Project.unit<0>.dataChannel<10>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.dataChannel<110>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_19
Project.unit<0>.dataChannel<111>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_20
Project.unit<0>.dataChannel<112>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_21
Project.unit<0>.dataChannel<113>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_22
Project.unit<0>.dataChannel<114>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_23
Project.unit<0>.dataChannel<115>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_24
Project.unit<0>.dataChannel<116>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_25
Project.unit<0>.dataChannel<117>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_26
Project.unit<0>.dataChannel<118>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_27
Project.unit<0>.dataChannel<119>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_28
Project.unit<0>.dataChannel<11>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.dataChannel<120>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_29
Project.unit<0>.dataChannel<121>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_30
Project.unit<0>.dataChannel<122>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<0>
Project.unit<0>.dataChannel<123>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<1>
Project.unit<0>.dataChannel<124>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<2>
Project.unit<0>.dataChannel<125>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<3>
Project.unit<0>.dataChannel<126>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<4>
Project.unit<0>.dataChannel<127>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<5>
Project.unit<0>.dataChannel<128>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<6>
Project.unit<0>.dataChannel<129>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<7>
Project.unit<0>.dataChannel<12>=GECKO3COM_simple_1 s_dev_dep_msg_out
Project.unit<0>.dataChannel<130>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<0>
Project.unit<0>.dataChannel<131>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<1>
Project.unit<0>.dataChannel<132>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<2>
Project.unit<0>.dataChannel<133>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<3>
Project.unit<0>.dataChannel<134>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<4>
Project.unit<0>.dataChannel<135>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<5>
Project.unit<0>.dataChannel<136>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<6>
Project.unit<0>.dataChannel<137>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_msg_id<7>
Project.unit<0>.dataChannel<138>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<0>
Project.unit<0>.dataChannel<139>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<1>
Project.unit<0>.dataChannel<13>=GECKO3COM_simple_1 s_eom_bit_detected
Project.unit<0>.dataChannel<140>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<2>
Project.unit<0>.dataChannel<141>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<3>
Project.unit<0>.dataChannel<142>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<4>
Project.unit<0>.dataChannel<143>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<5>
Project.unit<0>.dataChannel<144>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<6>
Project.unit<0>.dataChannel<145>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_nbtag<7>
Project.unit<0>.dataChannel<14>=GECKO3COM_simple_1 s_gpif_abort
Project.unit<0>.dataChannel<15>=GECKO3COM_simple_1 s_gpif_eom
Project.unit<0>.dataChannel<16>=GECKO3COM_simple_1 s_gpif_rx_empty
Project.unit<0>.dataChannel<17>=GECKO3COM_simple_1 s_gpif_rx_rd_en
Project.unit<0>.dataChannel<18>=GECKO3COM_simple_1 s_gpif_tx_full
Project.unit<0>.dataChannel<19>=GECKO3COM_simple_1 s_gpif_tx_wr_en
Project.unit<0>.dataChannel<1>=GECKO3COM_simple_1 i_receive_fifo_rd_en
Project.unit<0>.dataChannel<20>=GECKO3COM_simple_1 s_nbtag_reg_en
Project.unit<0>.dataChannel<21>=GECKO3COM_simple_1 s_receive_counter_en
Project.unit<0>.dataChannel<22>=GECKO3COM_simple_1 s_receive_counter_load
Project.unit<0>.dataChannel<23>=GECKO3COM_simple_1 s_receive_counter_zero
Project.unit<0>.dataChannel<24>=GECKO3COM_simple_1 s_receive_end_of_message_set
Project.unit<0>.dataChannel<25>=GECKO3COM_simple_1 s_receive_fifo_full
Project.unit<0>.dataChannel<26>=GECKO3COM_simple_1 s_receive_fifo_reset
Project.unit<0>.dataChannel<27>=GECKO3COM_simple_1 s_receive_fifo_wr_en
Project.unit<0>.dataChannel<28>=GECKO3COM_simple_1 s_receive_newdata_set
Project.unit<0>.dataChannel<29>=GECKO3COM_simple_1 s_receive_transfersize_en<0>
Project.unit<0>.dataChannel<2>=GECKO3COM_simple_1 i_send_fifo_wr_en
Project.unit<0>.dataChannel<30>=GECKO3COM_simple_1 s_receive_transfersize_en<1>
Project.unit<0>.dataChannel<31>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
Project.unit<0>.dataChannel<32>=GECKO3COM_simple_1 s_send_counter_en
Project.unit<0>.dataChannel<33>=GECKO3COM_simple_1 s_send_counter_load
Project.unit<0>.dataChannel<34>=GECKO3COM_simple_1 s_send_counter_zero
Project.unit<0>.dataChannel<35>=GECKO3COM_simple_1 s_send_data_request_set
Project.unit<0>.dataChannel<36>=GECKO3COM_simple_1 s_send_fifo_empty
Project.unit<0>.dataChannel<37>=GECKO3COM_simple_1 s_send_fifo_rd_en
Project.unit<0>.dataChannel<38>=GECKO3COM_simple_1 s_send_fifo_reset
Project.unit<0>.dataChannel<39>=GECKO3COM_simple_1 s_send_mux_sel<0>
Project.unit<0>.dataChannel<3>=GECKO3COM_simple_1 i_send_have_more_data
Project.unit<0>.dataChannel<40>=GECKO3COM_simple_1 s_send_mux_sel<1>
Project.unit<0>.dataChannel<41>=GECKO3COM_simple_1 s_send_mux_sel<2>
Project.unit<0>.dataChannel<42>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd1
Project.unit<0>.dataChannel<43>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd2
Project.unit<0>.dataChannel<44>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd3
Project.unit<0>.dataChannel<45>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd4
Project.unit<0>.dataChannel<46>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd5
Project.unit<0>.dataChannel<47>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd6
Project.unit<0>.dataChannel<48>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd7
Project.unit<0>.dataChannel<49>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd8
Project.unit<0>.dataChannel<4>=GECKO3COM_simple_1 o_receive_end_of_message
Project.unit<0>.dataChannel<50>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd9
Project.unit<0>.dataChannel<51>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd10
Project.unit<0>.dataChannel<52>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd11
Project.unit<0>.dataChannel<53>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd12
Project.unit<0>.dataChannel<54>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd13
Project.unit<0>.dataChannel<55>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd14
Project.unit<0>.dataChannel<56>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd15
Project.unit<0>.dataChannel<57>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd16
Project.unit<0>.dataChannel<58>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd17
Project.unit<0>.dataChannel<59>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd18
Project.unit<0>.dataChannel<5>=GECKO3COM_simple_1 o_receive_fifo_empty
Project.unit<0>.dataChannel<60>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd19
Project.unit<0>.dataChannel<61>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd20
Project.unit<0>.dataChannel<62>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd21
Project.unit<0>.dataChannel<63>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd22
Project.unit<0>.dataChannel<64>=s_send_have_more_data
Project.unit<0>.dataChannel<65>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 v_receive_fifo_empty_old
Project.unit<0>.dataChannel<66>=GECKO3COM_simple_1 o_receive_transfersize<31>
Project.unit<0>.dataChannel<67>=GECKO3COM_simple_1 o_receive_transfersize<3>
Project.unit<0>.dataChannel<68>=GECKO3COM_simple_1 o_receive_transfersize<4>
Project.unit<0>.dataChannel<69>=GECKO3COM_simple_1 o_receive_transfersize<5>
Project.unit<0>.dataChannel<6>=GECKO3COM_simple_1 o_receive_newdata
Project.unit<0>.dataChannel<70>=GECKO3COM_simple_1 o_receive_transfersize<6>
Project.unit<0>.dataChannel<71>=GECKO3COM_simple_1 o_receive_transfersize<7>
Project.unit<0>.dataChannel<72>=GECKO3COM_simple_1 o_receive_transfersize<8>
Project.unit<0>.dataChannel<73>=GECKO3COM_simple_1 o_receive_transfersize<9>
Project.unit<0>.dataChannel<74>=GECKO3COM_simple_1 s_gpif_rx_data<0>
Project.unit<0>.dataChannel<75>=GECKO3COM_simple_1 s_gpif_rx_data<10>
Project.unit<0>.dataChannel<76>=GECKO3COM_simple_1 s_gpif_rx_data<11>
Project.unit<0>.dataChannel<77>=GECKO3COM_simple_1 s_gpif_rx_data<12>
Project.unit<0>.dataChannel<78>=GECKO3COM_simple_1 s_gpif_rx_data<13>
Project.unit<0>.dataChannel<79>=GECKO3COM_simple_1 s_gpif_rx_data<14>
Project.unit<0>.dataChannel<7>=GECKO3COM_simple_1 o_send_data_request
Project.unit<0>.dataChannel<80>=GECKO3COM_simple_1 s_gpif_rx_data<15>
Project.unit<0>.dataChannel<81>=GECKO3COM_simple_1 s_gpif_rx_data<1>
Project.unit<0>.dataChannel<82>=GECKO3COM_simple_1 s_gpif_rx_data<2>
Project.unit<0>.dataChannel<83>=GECKO3COM_simple_1 s_gpif_rx_data<3>
Project.unit<0>.dataChannel<84>=GECKO3COM_simple_1 s_gpif_rx_data<4>
Project.unit<0>.dataChannel<85>=GECKO3COM_simple_1 s_gpif_rx_data<5>
Project.unit<0>.dataChannel<86>=GECKO3COM_simple_1 s_gpif_rx_data<6>
Project.unit<0>.dataChannel<87>=GECKO3COM_simple_1 s_gpif_rx_data<7>
Project.unit<0>.dataChannel<88>=GECKO3COM_simple_1 s_gpif_rx_data<8>
Project.unit<0>.dataChannel<89>=GECKO3COM_simple_1 s_gpif_rx_data<9>
Project.unit<0>.dataChannel<8>=GECKO3COM_simple_1 o_send_fifo_full
Project.unit<0>.dataChannel<90>=GECKO3COM_simple_1 o_receive_transfersize<0>
Project.unit<0>.dataChannel<91>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_0
Project.unit<0>.dataChannel<92>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_1
Project.unit<0>.dataChannel<93>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_2
Project.unit<0>.dataChannel<94>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_3
Project.unit<0>.dataChannel<95>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_4
Project.unit<0>.dataChannel<96>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_5
Project.unit<0>.dataChannel<97>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_6
Project.unit<0>.dataChannel<98>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_7
Project.unit<0>.dataChannel<99>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_8
Project.unit<0>.dataChannel<9>=GECKO3COM_simple_1 o_send_finished
Project.unit<0>.dataDepth=512
Project.unit<0>.dataEqualsTrigger=false
Project.unit<0>.dataPortWidth=146
Project.unit<0>.enableGaps=false
Project.unit<0>.enableStorageQualification=true
Project.unit<0>.enableTimestamps=false
Project.unit<0>.timestampDepth=0
Project.unit<0>.timestampWidth=0
Project.unit<0>.triggerChannel<0><0>=GECKO3COM_simple_1 s_dev_dep_msg_out
Project.unit<0>.triggerChannel<0><10>=s_send_transfersize_en
Project.unit<0>.triggerChannel<0><11>=s_transfer_size_reg_en
Project.unit<0>.triggerChannel<0><1>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.triggerChannel<0><2>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
Project.unit<0>.triggerChannel<0><3>=GECKO3COM_simple_1 s_gpif_rx_rd_en
Project.unit<0>.triggerChannel<0><4>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.triggerChannel<0><5>=GECKO3COM_simple_1 s_gpif_abort
Project.unit<0>.triggerChannel<0><6>=s_send_data_request
Project.unit<0>.triggerChannel<0><7>=s_send_fifo_full
Project.unit<0>.triggerChannel<0><8>=s_send_fifo_wr_en
Project.unit<0>.triggerChannel<0><9>=s_send_have_more_data
Project.unit<0>.triggerConditionCountWidth=0
Project.unit<0>.triggerMatchCount<0>=2
Project.unit<0>.triggerMatchCountWidth<0><0>=0
Project.unit<0>.triggerMatchCountWidth<0><1>=0
Project.unit<0>.triggerMatchType<0><0>=0
Project.unit<0>.triggerMatchType<0><1>=0
Project.unit<0>.triggerPortCount=1
Project.unit<0>.triggerPortIsData<0>=true
Project.unit<0>.triggerPortWidth<0>=12
Project.unit<0>.triggerSequencerLevels=16
Project.unit<0>.triggerSequencerType=1
Project.unit<0>.type=ilapro
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_prototype.xise
84,6 → 84,9
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="GECKO3COM_simple_test.cdc" xil_pn:type="FILE_CDC">
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="coregenerator/coregenerator_fifo_receive.ise" xil_pn:type="FILE_COREGENISE">
<association xil_pn:name="Implementation"/>
</file>
97,7 → 100,6
 
<properties>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="true"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false"/>
<property xil_pn:name="Command line syntax" xil_pn:value="emacsclient +$2 $1"/>
<property xil_pn:name="Compiled Library Directory" xil_pn:value="lib"/>
<property xil_pn:name="Constraints Entry" xil_pn:value="Constraints Editor"/>
138,6 → 140,7
 
<bindings>
<binding xil_pn:location="/gpif_com_test" xil_pn:name="GECKO3main_prototype.ucf"/>
<binding xil_pn:location="/GECKO3COM_simple_test" xil_pn:name="GECKO3COM_simple_test.cdc"/>
</bindings>
 
<libraries/>
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.cpj
0,0 → 1,1449
#ChipScope Pro Analyzer Project File, Version 3.0
#Thu Feb 18 16:29:57 CET 2010
deviceChain.deviceName0=XC3S1500
deviceChain.iRLength0=6
deviceChain.name0=MyDevice0
deviceIds=01434093
import.certifyIdx=-1
import.dir=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
import.filename=GECKO3COM_simple_test.cdc
import.unitDeviceIdx=0
mdiAreaHeight=0.8265503875968992
mdiAreaHeightLast=0.689922480620155
mdiCount=2
mdiDevice0=0
mdiDevice1=0
mdiType0=1
mdiType1=0
mdiUnit0=0
mdiUnit1=0
navigatorHeight=0.17926356589147288
navigatorHeightLast=0.17926356589147288
navigatorWidth=0.19253554502369669
navigatorWidthLast=0.49111374407582936
unit.-1.-1.username=
unit.0.0.0.HEIGHT0=0.45882353
unit.0.0.0.TriggerRow0=1
unit.0.0.0.TriggerRow1=1
unit.0.0.0.TriggerRow2=1
unit.0.0.0.WIDTH0=1.0104244
unit.0.0.0.X0=0.0
unit.0.0.0.Y0=0.0
unit.0.0.1.HEIGHT1=0.9082353
unit.0.0.1.WIDTH1=1.0781832
unit.0.0.1.X1=0.0
unit.0.0.1.Y1=0.08117647
unit.0.0.MFBitsA0=XXXX1XXXXXXX
unit.0.0.MFBitsA1=XXXXXXXXXXXX
unit.0.0.MFBitsB0=000000000000
unit.0.0.MFBitsB1=000000000000
unit.0.0.MFCompareA0=0
unit.0.0.MFCompareA1=0
unit.0.0.MFCompareB0=999
unit.0.0.MFCompareB1=999
unit.0.0.MFCount=2
unit.0.0.MFDisplay0=0
unit.0.0.MFDisplay1=0
unit.0.0.MFEventType0=3
unit.0.0.MFEventType1=3
unit.0.0.SQCondition=All Data
unit.0.0.SQContiguous0=0
unit.0.0.SequencerOn=0
unit.0.0.TCActive=0
unit.0.0.TCAdvanced0=0
unit.0.0.TCCondition0_0=M0
unit.0.0.TCCondition0_1=M0 --> M0
unit.0.0.TCConditionType0=1
unit.0.0.TCCount=1
unit.0.0.TCEventCount0=1
unit.0.0.TCEventType0=3
unit.0.0.TCName0=TriggerCondition0
unit.0.0.TCOutputEnable0=0
unit.0.0.TCOutputHigh0=1
unit.0.0.TCOutputMode0=0
unit.0.0.browser_tree_state</GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_1>=0
unit.0.0.browser_tree_state</GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag>=0
unit.0.0.browser_tree_state</GECKO3COM_simple_1/o_receive_transfersize>=0
unit.0.0.browser_tree_state<Data\ Port>=1
unit.0.0.browser_tree_state<Mcount_s_receive_transfersize_count_1>=1
unit.0.0.browser_tree_state<o_receive_transfersize>=0
unit.0.0.coretype=ILA
unit.0.0.eventCount0=1
unit.0.0.eventCount1=1
unit.0.0.port.-1.b.0.alias=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.port.-1.b.0.channellist=74 81 82 83 84 85 86 87 88 89 75 76 77 78 79 80
unit.0.0.port.-1.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.0.name=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.port.-1.b.0.orderindex=-1
unit.0.0.port.-1.b.0.radix=Hex
unit.0.0.port.-1.b.0.signedOffset=0.0
unit.0.0.port.-1.b.0.signedPrecision=0
unit.0.0.port.-1.b.0.signedScaleFactor=1.0
unit.0.0.port.-1.b.0.tokencount=0
unit.0.0.port.-1.b.0.unsignedOffset=0.0
unit.0.0.port.-1.b.0.unsignedPrecision=0
unit.0.0.port.-1.b.0.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.0.visible=1
unit.0.0.port.-1.b.1.alias=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.port.-1.b.1.channellist=39 40 41
unit.0.0.port.-1.b.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.1.name=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.port.-1.b.1.orderindex=-1
unit.0.0.port.-1.b.1.radix=Hex
unit.0.0.port.-1.b.1.signedOffset=0.0
unit.0.0.port.-1.b.1.signedPrecision=0
unit.0.0.port.-1.b.1.signedScaleFactor=1.0
unit.0.0.port.-1.b.1.tokencount=0
unit.0.0.port.-1.b.1.unsignedOffset=0.0
unit.0.0.port.-1.b.1.unsignedPrecision=0
unit.0.0.port.-1.b.1.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.1.visible=1
unit.0.0.port.-1.b.2.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.b.2.channellist=42 53 64 43 44 45 46 47 48 49 50 51 52 54 55 56 57 58 59 60 61 62 63
unit.0.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.2.name=/GECKO3COM_simple_1/o_receive_transfersize
unit.0.0.port.-1.b.2.orderindex=-1
unit.0.0.port.-1.b.2.radix=Hex
unit.0.0.port.-1.b.2.signedOffset=0.0
unit.0.0.port.-1.b.2.signedPrecision=0
unit.0.0.port.-1.b.2.signedScaleFactor=1.0
unit.0.0.port.-1.b.2.tokencount=0
unit.0.0.port.-1.b.2.unsignedOffset=0.0
unit.0.0.port.-1.b.2.unsignedPrecision=0
unit.0.0.port.-1.b.2.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.2.visible=1
unit.0.0.port.-1.b.3.alias=Mcount_s_receive_transfersize_count_eqn
unit.0.0.port.-1.b.3.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
unit.0.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn
unit.0.0.port.-1.b.3.orderindex=-1
unit.0.0.port.-1.b.3.radix=Hex
unit.0.0.port.-1.b.3.signedOffset=0.0
unit.0.0.port.-1.b.3.signedPrecision=0
unit.0.0.port.-1.b.3.signedScaleFactor=1.0
unit.0.0.port.-1.b.3.tokencount=0
unit.0.0.port.-1.b.3.unsignedOffset=0.0
unit.0.0.port.-1.b.3.unsignedPrecision=0
unit.0.0.port.-1.b.3.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.3.visible=1
unit.0.0.port.-1.b.4.alias=s_btag
unit.0.0.port.-1.b.4.channellist=122 123 124 125 126 127 128 129
unit.0.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
unit.0.0.port.-1.b.4.orderindex=-1
unit.0.0.port.-1.b.4.radix=Hex
unit.0.0.port.-1.b.4.signedOffset=0.0
unit.0.0.port.-1.b.4.signedPrecision=0
unit.0.0.port.-1.b.4.signedScaleFactor=1.0
unit.0.0.port.-1.b.4.tokencount=0
unit.0.0.port.-1.b.4.unsignedOffset=0.0
unit.0.0.port.-1.b.4.unsignedPrecision=0
unit.0.0.port.-1.b.4.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.4.visible=1
unit.0.0.port.-1.b.5.alias=s_msg_id
unit.0.0.port.-1.b.5.channellist=130 131 132 133 134 135 136 137
unit.0.0.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
unit.0.0.port.-1.b.5.orderindex=-1
unit.0.0.port.-1.b.5.radix=Hex
unit.0.0.port.-1.b.5.signedOffset=0.0
unit.0.0.port.-1.b.5.signedPrecision=0
unit.0.0.port.-1.b.5.signedScaleFactor=1.0
unit.0.0.port.-1.b.5.tokencount=0
unit.0.0.port.-1.b.5.unsignedOffset=0.0
unit.0.0.port.-1.b.5.unsignedPrecision=0
unit.0.0.port.-1.b.5.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.5.visible=1
unit.0.0.port.-1.b.6.alias=s_nbtag
unit.0.0.port.-1.b.6.channellist=138 139 140 141 142 143 144 145
unit.0.0.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
unit.0.0.port.-1.b.6.orderindex=-1
unit.0.0.port.-1.b.6.radix=Hex
unit.0.0.port.-1.b.6.signedOffset=0.0
unit.0.0.port.-1.b.6.signedPrecision=0
unit.0.0.port.-1.b.6.signedScaleFactor=1.0
unit.0.0.port.-1.b.6.tokencount=0
unit.0.0.port.-1.b.6.unsignedOffset=0.0
unit.0.0.port.-1.b.6.unsignedPrecision=0
unit.0.0.port.-1.b.6.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.6.visible=1
unit.0.0.port.-1.b.7.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.7.channellist=29 30
unit.0.0.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/s_receive_transfersize_en
unit.0.0.port.-1.b.7.orderindex=-1
unit.0.0.port.-1.b.7.radix=Hex
unit.0.0.port.-1.b.7.signedOffset=0.0
unit.0.0.port.-1.b.7.signedPrecision=0
unit.0.0.port.-1.b.7.signedScaleFactor=1.0
unit.0.0.port.-1.b.7.tokencount=0
unit.0.0.port.-1.b.7.unsignedOffset=0.0
unit.0.0.port.-1.b.7.unsignedPrecision=0
unit.0.0.port.-1.b.7.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.7.visible=1
unit.0.0.port.-1.buscount=8
unit.0.0.port.-1.channelcount=146
unit.0.0.port.-1.s.0.alias=
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.0.name=/GECKO3COM_simple_1/i_nReset
unit.0.0.port.-1.s.0.orderindex=-1
unit.0.0.port.-1.s.0.visible=1
unit.0.0.port.-1.s.1.alias=
unit.0.0.port.-1.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
unit.0.0.port.-1.s.1.orderindex=-1
unit.0.0.port.-1.s.1.visible=1
unit.0.0.port.-1.s.10.alias=
unit.0.0.port.-1.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.port.-1.s.10.orderindex=-1
unit.0.0.port.-1.s.10.visible=1
unit.0.0.port.-1.s.100.alias=
unit.0.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_9
unit.0.0.port.-1.s.100.orderindex=-1
unit.0.0.port.-1.s.100.visible=0
unit.0.0.port.-1.s.101.alias=
unit.0.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_10
unit.0.0.port.-1.s.101.orderindex=-1
unit.0.0.port.-1.s.101.visible=0
unit.0.0.port.-1.s.102.alias=
unit.0.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_11
unit.0.0.port.-1.s.102.orderindex=-1
unit.0.0.port.-1.s.102.visible=0
unit.0.0.port.-1.s.103.alias=
unit.0.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_12
unit.0.0.port.-1.s.103.orderindex=-1
unit.0.0.port.-1.s.103.visible=0
unit.0.0.port.-1.s.104.alias=
unit.0.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_13
unit.0.0.port.-1.s.104.orderindex=-1
unit.0.0.port.-1.s.104.visible=0
unit.0.0.port.-1.s.105.alias=
unit.0.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_14
unit.0.0.port.-1.s.105.orderindex=-1
unit.0.0.port.-1.s.105.visible=0
unit.0.0.port.-1.s.106.alias=
unit.0.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_15
unit.0.0.port.-1.s.106.orderindex=-1
unit.0.0.port.-1.s.106.visible=0
unit.0.0.port.-1.s.107.alias=
unit.0.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_16
unit.0.0.port.-1.s.107.orderindex=-1
unit.0.0.port.-1.s.107.visible=0
unit.0.0.port.-1.s.108.alias=
unit.0.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_17
unit.0.0.port.-1.s.108.orderindex=-1
unit.0.0.port.-1.s.108.visible=0
unit.0.0.port.-1.s.109.alias=
unit.0.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_18
unit.0.0.port.-1.s.109.orderindex=-1
unit.0.0.port.-1.s.109.visible=0
unit.0.0.port.-1.s.11.alias=
unit.0.0.port.-1.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.port.-1.s.11.orderindex=-1
unit.0.0.port.-1.s.11.visible=1
unit.0.0.port.-1.s.110.alias=
unit.0.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_19
unit.0.0.port.-1.s.110.orderindex=-1
unit.0.0.port.-1.s.110.visible=0
unit.0.0.port.-1.s.111.alias=
unit.0.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_20
unit.0.0.port.-1.s.111.orderindex=-1
unit.0.0.port.-1.s.111.visible=0
unit.0.0.port.-1.s.112.alias=
unit.0.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_21
unit.0.0.port.-1.s.112.orderindex=-1
unit.0.0.port.-1.s.112.visible=0
unit.0.0.port.-1.s.113.alias=
unit.0.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_22
unit.0.0.port.-1.s.113.orderindex=-1
unit.0.0.port.-1.s.113.visible=0
unit.0.0.port.-1.s.114.alias=
unit.0.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_23
unit.0.0.port.-1.s.114.orderindex=-1
unit.0.0.port.-1.s.114.visible=0
unit.0.0.port.-1.s.115.alias=
unit.0.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_24
unit.0.0.port.-1.s.115.orderindex=-1
unit.0.0.port.-1.s.115.visible=0
unit.0.0.port.-1.s.116.alias=
unit.0.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_25
unit.0.0.port.-1.s.116.orderindex=-1
unit.0.0.port.-1.s.116.visible=0
unit.0.0.port.-1.s.117.alias=
unit.0.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_26
unit.0.0.port.-1.s.117.orderindex=-1
unit.0.0.port.-1.s.117.visible=0
unit.0.0.port.-1.s.118.alias=
unit.0.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_27
unit.0.0.port.-1.s.118.orderindex=-1
unit.0.0.port.-1.s.118.visible=0
unit.0.0.port.-1.s.119.alias=
unit.0.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_28
unit.0.0.port.-1.s.119.orderindex=-1
unit.0.0.port.-1.s.119.visible=0
unit.0.0.port.-1.s.12.alias=
unit.0.0.port.-1.s.12.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.port.-1.s.12.orderindex=-1
unit.0.0.port.-1.s.12.visible=1
unit.0.0.port.-1.s.120.alias=
unit.0.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_29
unit.0.0.port.-1.s.120.orderindex=-1
unit.0.0.port.-1.s.120.visible=0
unit.0.0.port.-1.s.121.alias=
unit.0.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_30
unit.0.0.port.-1.s.121.orderindex=-1
unit.0.0.port.-1.s.121.visible=0
unit.0.0.port.-1.s.122.alias=
unit.0.0.port.-1.s.122.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<0>
unit.0.0.port.-1.s.122.orderindex=-1
unit.0.0.port.-1.s.122.visible=0
unit.0.0.port.-1.s.123.alias=
unit.0.0.port.-1.s.123.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<1>
unit.0.0.port.-1.s.123.orderindex=-1
unit.0.0.port.-1.s.123.visible=0
unit.0.0.port.-1.s.124.alias=
unit.0.0.port.-1.s.124.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<2>
unit.0.0.port.-1.s.124.orderindex=-1
unit.0.0.port.-1.s.124.visible=0
unit.0.0.port.-1.s.125.alias=
unit.0.0.port.-1.s.125.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<3>
unit.0.0.port.-1.s.125.orderindex=-1
unit.0.0.port.-1.s.125.visible=0
unit.0.0.port.-1.s.126.alias=
unit.0.0.port.-1.s.126.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<4>
unit.0.0.port.-1.s.126.orderindex=-1
unit.0.0.port.-1.s.126.visible=0
unit.0.0.port.-1.s.127.alias=
unit.0.0.port.-1.s.127.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<5>
unit.0.0.port.-1.s.127.orderindex=-1
unit.0.0.port.-1.s.127.visible=0
unit.0.0.port.-1.s.128.alias=
unit.0.0.port.-1.s.128.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<6>
unit.0.0.port.-1.s.128.orderindex=-1
unit.0.0.port.-1.s.128.visible=0
unit.0.0.port.-1.s.129.alias=
unit.0.0.port.-1.s.129.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag<7>
unit.0.0.port.-1.s.129.orderindex=-1
unit.0.0.port.-1.s.129.visible=0
unit.0.0.port.-1.s.13.alias=
unit.0.0.port.-1.s.13.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
unit.0.0.port.-1.s.13.orderindex=-1
unit.0.0.port.-1.s.13.visible=1
unit.0.0.port.-1.s.130.alias=
unit.0.0.port.-1.s.130.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<0>
unit.0.0.port.-1.s.130.orderindex=-1
unit.0.0.port.-1.s.130.visible=0
unit.0.0.port.-1.s.131.alias=
unit.0.0.port.-1.s.131.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<1>
unit.0.0.port.-1.s.131.orderindex=-1
unit.0.0.port.-1.s.131.visible=0
unit.0.0.port.-1.s.132.alias=
unit.0.0.port.-1.s.132.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<2>
unit.0.0.port.-1.s.132.orderindex=-1
unit.0.0.port.-1.s.132.visible=0
unit.0.0.port.-1.s.133.alias=
unit.0.0.port.-1.s.133.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<3>
unit.0.0.port.-1.s.133.orderindex=-1
unit.0.0.port.-1.s.133.visible=0
unit.0.0.port.-1.s.134.alias=
unit.0.0.port.-1.s.134.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<4>
unit.0.0.port.-1.s.134.orderindex=-1
unit.0.0.port.-1.s.134.visible=0
unit.0.0.port.-1.s.135.alias=
unit.0.0.port.-1.s.135.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<5>
unit.0.0.port.-1.s.135.orderindex=-1
unit.0.0.port.-1.s.135.visible=0
unit.0.0.port.-1.s.136.alias=
unit.0.0.port.-1.s.136.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<6>
unit.0.0.port.-1.s.136.orderindex=-1
unit.0.0.port.-1.s.136.visible=0
unit.0.0.port.-1.s.137.alias=
unit.0.0.port.-1.s.137.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id<7>
unit.0.0.port.-1.s.137.orderindex=-1
unit.0.0.port.-1.s.137.visible=0
unit.0.0.port.-1.s.138.alias=
unit.0.0.port.-1.s.138.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<0>
unit.0.0.port.-1.s.138.orderindex=-1
unit.0.0.port.-1.s.138.visible=0
unit.0.0.port.-1.s.139.alias=
unit.0.0.port.-1.s.139.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<1>
unit.0.0.port.-1.s.139.orderindex=-1
unit.0.0.port.-1.s.139.visible=0
unit.0.0.port.-1.s.14.alias=
unit.0.0.port.-1.s.14.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.14.name=/GECKO3COM_simple_1/s_gpif_abort
unit.0.0.port.-1.s.14.orderindex=-1
unit.0.0.port.-1.s.14.visible=1
unit.0.0.port.-1.s.140.alias=
unit.0.0.port.-1.s.140.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<2>
unit.0.0.port.-1.s.140.orderindex=-1
unit.0.0.port.-1.s.140.visible=0
unit.0.0.port.-1.s.141.alias=
unit.0.0.port.-1.s.141.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<3>
unit.0.0.port.-1.s.141.orderindex=-1
unit.0.0.port.-1.s.141.visible=0
unit.0.0.port.-1.s.142.alias=
unit.0.0.port.-1.s.142.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<4>
unit.0.0.port.-1.s.142.orderindex=-1
unit.0.0.port.-1.s.142.visible=0
unit.0.0.port.-1.s.143.alias=
unit.0.0.port.-1.s.143.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<5>
unit.0.0.port.-1.s.143.orderindex=-1
unit.0.0.port.-1.s.143.visible=0
unit.0.0.port.-1.s.144.alias=
unit.0.0.port.-1.s.144.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.144.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<6>
unit.0.0.port.-1.s.144.orderindex=-1
unit.0.0.port.-1.s.144.visible=0
unit.0.0.port.-1.s.145.alias=
unit.0.0.port.-1.s.145.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.145.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.port.-1.s.145.orderindex=-1
unit.0.0.port.-1.s.145.visible=0
unit.0.0.port.-1.s.15.alias=
unit.0.0.port.-1.s.15.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.15.name=/GECKO3COM_simple_1/s_gpif_eom
unit.0.0.port.-1.s.15.orderindex=-1
unit.0.0.port.-1.s.15.visible=1
unit.0.0.port.-1.s.16.alias=
unit.0.0.port.-1.s.16.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.16.name=/GECKO3COM_simple_1/s_gpif_rx_empty
unit.0.0.port.-1.s.16.orderindex=-1
unit.0.0.port.-1.s.16.visible=1
unit.0.0.port.-1.s.17.alias=
unit.0.0.port.-1.s.17.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.17.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
unit.0.0.port.-1.s.17.orderindex=-1
unit.0.0.port.-1.s.17.visible=1
unit.0.0.port.-1.s.18.alias=
unit.0.0.port.-1.s.18.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.18.name=/GECKO3COM_simple_1/s_gpif_tx_full
unit.0.0.port.-1.s.18.orderindex=-1
unit.0.0.port.-1.s.18.visible=1
unit.0.0.port.-1.s.19.alias=
unit.0.0.port.-1.s.19.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.19.name=/GECKO3COM_simple_1/s_gpif_tx_wr_en
unit.0.0.port.-1.s.19.orderindex=-1
unit.0.0.port.-1.s.19.visible=1
unit.0.0.port.-1.s.2.alias=
unit.0.0.port.-1.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.2.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
unit.0.0.port.-1.s.2.orderindex=-1
unit.0.0.port.-1.s.2.visible=1
unit.0.0.port.-1.s.20.alias=
unit.0.0.port.-1.s.20.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.20.name=/GECKO3COM_simple_1/s_nbtag_reg_en
unit.0.0.port.-1.s.20.orderindex=-1
unit.0.0.port.-1.s.20.visible=1
unit.0.0.port.-1.s.21.alias=
unit.0.0.port.-1.s.21.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.21.name=/GECKO3COM_simple_1/s_receive_counter_en
unit.0.0.port.-1.s.21.orderindex=-1
unit.0.0.port.-1.s.21.visible=1
unit.0.0.port.-1.s.22.alias=
unit.0.0.port.-1.s.22.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.22.name=/GECKO3COM_simple_1/s_receive_counter_load
unit.0.0.port.-1.s.22.orderindex=-1
unit.0.0.port.-1.s.22.visible=1
unit.0.0.port.-1.s.23.alias=
unit.0.0.port.-1.s.23.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
unit.0.0.port.-1.s.23.orderindex=-1
unit.0.0.port.-1.s.23.visible=1
unit.0.0.port.-1.s.24.alias=
unit.0.0.port.-1.s.24.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
unit.0.0.port.-1.s.24.orderindex=-1
unit.0.0.port.-1.s.24.visible=1
unit.0.0.port.-1.s.25.alias=
unit.0.0.port.-1.s.25.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
unit.0.0.port.-1.s.25.orderindex=-1
unit.0.0.port.-1.s.25.visible=1
unit.0.0.port.-1.s.26.alias=
unit.0.0.port.-1.s.26.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.26.name=/GECKO3COM_simple_1/s_receive_fifo_reset
unit.0.0.port.-1.s.26.orderindex=-1
unit.0.0.port.-1.s.26.visible=1
unit.0.0.port.-1.s.27.alias=
unit.0.0.port.-1.s.27.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.27.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
unit.0.0.port.-1.s.27.orderindex=-1
unit.0.0.port.-1.s.27.visible=1
unit.0.0.port.-1.s.28.alias=
unit.0.0.port.-1.s.28.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.28.name=/GECKO3COM_simple_1/s_receive_newdata_set
unit.0.0.port.-1.s.28.orderindex=-1
unit.0.0.port.-1.s.28.visible=1
unit.0.0.port.-1.s.29.alias=
unit.0.0.port.-1.s.29.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.29.name=/GECKO3COM_simple_1/s_receive_transfersize_en<0>
unit.0.0.port.-1.s.29.orderindex=-1
unit.0.0.port.-1.s.29.visible=0
unit.0.0.port.-1.s.3.alias=
unit.0.0.port.-1.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.3.name=/GECKO3COM_simple_1/i_send_have_more_data
unit.0.0.port.-1.s.3.orderindex=-1
unit.0.0.port.-1.s.3.visible=1
unit.0.0.port.-1.s.30.alias=
unit.0.0.port.-1.s.30.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.30.name=/GECKO3COM_simple_1/s_receive_transfersize_en<1>
unit.0.0.port.-1.s.30.orderindex=-1
unit.0.0.port.-1.s.30.visible=0
unit.0.0.port.-1.s.31.alias=
unit.0.0.port.-1.s.31.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.31.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.port.-1.s.31.orderindex=-1
unit.0.0.port.-1.s.31.visible=1
unit.0.0.port.-1.s.32.alias=
unit.0.0.port.-1.s.32.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.32.name=/GECKO3COM_simple_1/s_send_counter_en
unit.0.0.port.-1.s.32.orderindex=-1
unit.0.0.port.-1.s.32.visible=1
unit.0.0.port.-1.s.33.alias=
unit.0.0.port.-1.s.33.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.33.name=/GECKO3COM_simple_1/s_send_counter_load
unit.0.0.port.-1.s.33.orderindex=-1
unit.0.0.port.-1.s.33.visible=1
unit.0.0.port.-1.s.34.alias=
unit.0.0.port.-1.s.34.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.34.name=/GECKO3COM_simple_1/s_send_counter_zero
unit.0.0.port.-1.s.34.orderindex=-1
unit.0.0.port.-1.s.34.visible=1
unit.0.0.port.-1.s.35.alias=
unit.0.0.port.-1.s.35.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.35.name=/GECKO3COM_simple_1/s_send_data_request_set
unit.0.0.port.-1.s.35.orderindex=-1
unit.0.0.port.-1.s.35.visible=1
unit.0.0.port.-1.s.36.alias=
unit.0.0.port.-1.s.36.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.36.name=/GECKO3COM_simple_1/s_send_fifo_empty
unit.0.0.port.-1.s.36.orderindex=-1
unit.0.0.port.-1.s.36.visible=1
unit.0.0.port.-1.s.37.alias=
unit.0.0.port.-1.s.37.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.37.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
unit.0.0.port.-1.s.37.orderindex=-1
unit.0.0.port.-1.s.37.visible=1
unit.0.0.port.-1.s.38.alias=
unit.0.0.port.-1.s.38.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.38.name=/GECKO3COM_simple_1/s_send_fifo_reset
unit.0.0.port.-1.s.38.orderindex=-1
unit.0.0.port.-1.s.38.visible=1
unit.0.0.port.-1.s.39.alias=
unit.0.0.port.-1.s.39.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.39.name=/GECKO3COM_simple_1/s_send_mux_sel<0>
unit.0.0.port.-1.s.39.orderindex=-1
unit.0.0.port.-1.s.39.visible=0
unit.0.0.port.-1.s.4.alias=
unit.0.0.port.-1.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
unit.0.0.port.-1.s.4.orderindex=-1
unit.0.0.port.-1.s.4.visible=1
unit.0.0.port.-1.s.40.alias=
unit.0.0.port.-1.s.40.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.40.name=/GECKO3COM_simple_1/s_send_mux_sel<1>
unit.0.0.port.-1.s.40.orderindex=-1
unit.0.0.port.-1.s.40.visible=0
unit.0.0.port.-1.s.41.alias=
unit.0.0.port.-1.s.41.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.41.name=/GECKO3COM_simple_1/s_send_mux_sel<2>
unit.0.0.port.-1.s.41.orderindex=-1
unit.0.0.port.-1.s.41.visible=0
unit.0.0.port.-1.s.42.alias=
unit.0.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.42.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.s.42.orderindex=-1
unit.0.0.port.-1.s.42.visible=0
unit.0.0.port.-1.s.43.alias=
unit.0.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.43.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd2
unit.0.0.port.-1.s.43.orderindex=-1
unit.0.0.port.-1.s.43.visible=0
unit.0.0.port.-1.s.44.alias=
unit.0.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.44.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd3
unit.0.0.port.-1.s.44.orderindex=-1
unit.0.0.port.-1.s.44.visible=0
unit.0.0.port.-1.s.45.alias=
unit.0.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.45.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd4
unit.0.0.port.-1.s.45.orderindex=-1
unit.0.0.port.-1.s.45.visible=0
unit.0.0.port.-1.s.46.alias=
unit.0.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.46.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd5
unit.0.0.port.-1.s.46.orderindex=-1
unit.0.0.port.-1.s.46.visible=0
unit.0.0.port.-1.s.47.alias=
unit.0.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd6
unit.0.0.port.-1.s.47.orderindex=-1
unit.0.0.port.-1.s.47.visible=0
unit.0.0.port.-1.s.48.alias=
unit.0.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd7
unit.0.0.port.-1.s.48.orderindex=-1
unit.0.0.port.-1.s.48.visible=0
unit.0.0.port.-1.s.49.alias=
unit.0.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd8
unit.0.0.port.-1.s.49.orderindex=-1
unit.0.0.port.-1.s.49.visible=0
unit.0.0.port.-1.s.5.alias=
unit.0.0.port.-1.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.port.-1.s.5.orderindex=-1
unit.0.0.port.-1.s.5.visible=1
unit.0.0.port.-1.s.50.alias=
unit.0.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd9
unit.0.0.port.-1.s.50.orderindex=-1
unit.0.0.port.-1.s.50.visible=0
unit.0.0.port.-1.s.51.alias=
unit.0.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd10
unit.0.0.port.-1.s.51.orderindex=-1
unit.0.0.port.-1.s.51.visible=0
unit.0.0.port.-1.s.52.alias=
unit.0.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd11
unit.0.0.port.-1.s.52.orderindex=-1
unit.0.0.port.-1.s.52.visible=0
unit.0.0.port.-1.s.53.alias=
unit.0.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd12
unit.0.0.port.-1.s.53.orderindex=-1
unit.0.0.port.-1.s.53.visible=0
unit.0.0.port.-1.s.54.alias=
unit.0.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd13
unit.0.0.port.-1.s.54.orderindex=-1
unit.0.0.port.-1.s.54.visible=0
unit.0.0.port.-1.s.55.alias=
unit.0.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd14
unit.0.0.port.-1.s.55.orderindex=-1
unit.0.0.port.-1.s.55.visible=0
unit.0.0.port.-1.s.56.alias=
unit.0.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd15
unit.0.0.port.-1.s.56.orderindex=-1
unit.0.0.port.-1.s.56.visible=0
unit.0.0.port.-1.s.57.alias=
unit.0.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd16
unit.0.0.port.-1.s.57.orderindex=-1
unit.0.0.port.-1.s.57.visible=0
unit.0.0.port.-1.s.58.alias=
unit.0.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd17
unit.0.0.port.-1.s.58.orderindex=-1
unit.0.0.port.-1.s.58.visible=0
unit.0.0.port.-1.s.59.alias=
unit.0.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd18
unit.0.0.port.-1.s.59.orderindex=-1
unit.0.0.port.-1.s.59.visible=0
unit.0.0.port.-1.s.6.alias=
unit.0.0.port.-1.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.port.-1.s.6.orderindex=-1
unit.0.0.port.-1.s.6.visible=1
unit.0.0.port.-1.s.60.alias=
unit.0.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd19
unit.0.0.port.-1.s.60.orderindex=-1
unit.0.0.port.-1.s.60.visible=0
unit.0.0.port.-1.s.61.alias=
unit.0.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd20
unit.0.0.port.-1.s.61.orderindex=-1
unit.0.0.port.-1.s.61.visible=0
unit.0.0.port.-1.s.62.alias=
unit.0.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd21
unit.0.0.port.-1.s.62.orderindex=-1
unit.0.0.port.-1.s.62.visible=0
unit.0.0.port.-1.s.63.alias=
unit.0.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd22
unit.0.0.port.-1.s.63.orderindex=-1
unit.0.0.port.-1.s.63.visible=0
unit.0.0.port.-1.s.64.alias=
unit.0.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.64.name=/s_send_have_more_data
unit.0.0.port.-1.s.64.orderindex=-1
unit.0.0.port.-1.s.64.visible=0
unit.0.0.port.-1.s.65.alias=v_receive_fifo_empty_old
unit.0.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/v_receive_fifo_empty_old
unit.0.0.port.-1.s.65.orderindex=-1
unit.0.0.port.-1.s.65.visible=1
unit.0.0.port.-1.s.66.alias=
unit.0.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.66.name=/GECKO3COM_simple_1/o_receive_transfersize<31>
unit.0.0.port.-1.s.66.orderindex=-1
unit.0.0.port.-1.s.66.visible=1
unit.0.0.port.-1.s.67.alias=
unit.0.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.67.name=/GECKO3COM_simple_1/o_receive_transfersize<3>
unit.0.0.port.-1.s.67.orderindex=-1
unit.0.0.port.-1.s.67.visible=1
unit.0.0.port.-1.s.68.alias=
unit.0.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.68.name=/GECKO3COM_simple_1/o_receive_transfersize<4>
unit.0.0.port.-1.s.68.orderindex=-1
unit.0.0.port.-1.s.68.visible=1
unit.0.0.port.-1.s.69.alias=
unit.0.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.69.name=/GECKO3COM_simple_1/o_receive_transfersize<5>
unit.0.0.port.-1.s.69.orderindex=-1
unit.0.0.port.-1.s.69.visible=1
unit.0.0.port.-1.s.7.alias=
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.port.-1.s.7.orderindex=-1
unit.0.0.port.-1.s.7.visible=1
unit.0.0.port.-1.s.70.alias=
unit.0.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.70.name=/GECKO3COM_simple_1/o_receive_transfersize<6>
unit.0.0.port.-1.s.70.orderindex=-1
unit.0.0.port.-1.s.70.visible=1
unit.0.0.port.-1.s.71.alias=
unit.0.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.71.name=/GECKO3COM_simple_1/o_receive_transfersize<7>
unit.0.0.port.-1.s.71.orderindex=-1
unit.0.0.port.-1.s.71.visible=1
unit.0.0.port.-1.s.72.alias=
unit.0.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.72.name=/GECKO3COM_simple_1/o_receive_transfersize<8>
unit.0.0.port.-1.s.72.orderindex=-1
unit.0.0.port.-1.s.72.visible=1
unit.0.0.port.-1.s.73.alias=
unit.0.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.73.name=/GECKO3COM_simple_1/o_receive_transfersize<9>
unit.0.0.port.-1.s.73.orderindex=-1
unit.0.0.port.-1.s.73.visible=1
unit.0.0.port.-1.s.74.alias=
unit.0.0.port.-1.s.74.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.74.name=/GECKO3COM_simple_1/s_gpif_rx_data<0>
unit.0.0.port.-1.s.74.orderindex=-1
unit.0.0.port.-1.s.74.visible=0
unit.0.0.port.-1.s.75.alias=
unit.0.0.port.-1.s.75.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.75.name=/GECKO3COM_simple_1/s_gpif_rx_data<10>
unit.0.0.port.-1.s.75.orderindex=-1
unit.0.0.port.-1.s.75.visible=0
unit.0.0.port.-1.s.76.alias=
unit.0.0.port.-1.s.76.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.76.name=/GECKO3COM_simple_1/s_gpif_rx_data<11>
unit.0.0.port.-1.s.76.orderindex=-1
unit.0.0.port.-1.s.76.visible=0
unit.0.0.port.-1.s.77.alias=
unit.0.0.port.-1.s.77.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.77.name=/GECKO3COM_simple_1/s_gpif_rx_data<12>
unit.0.0.port.-1.s.77.orderindex=-1
unit.0.0.port.-1.s.77.visible=0
unit.0.0.port.-1.s.78.alias=
unit.0.0.port.-1.s.78.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.78.name=/GECKO3COM_simple_1/s_gpif_rx_data<13>
unit.0.0.port.-1.s.78.orderindex=-1
unit.0.0.port.-1.s.78.visible=0
unit.0.0.port.-1.s.79.alias=
unit.0.0.port.-1.s.79.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.79.name=/GECKO3COM_simple_1/s_gpif_rx_data<14>
unit.0.0.port.-1.s.79.orderindex=-1
unit.0.0.port.-1.s.79.visible=0
unit.0.0.port.-1.s.8.alias=
unit.0.0.port.-1.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.8.name=/GECKO3COM_simple_1/o_send_fifo_full
unit.0.0.port.-1.s.8.orderindex=-1
unit.0.0.port.-1.s.8.visible=1
unit.0.0.port.-1.s.80.alias=
unit.0.0.port.-1.s.80.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.80.name=/GECKO3COM_simple_1/s_gpif_rx_data<15>
unit.0.0.port.-1.s.80.orderindex=-1
unit.0.0.port.-1.s.80.visible=0
unit.0.0.port.-1.s.81.alias=
unit.0.0.port.-1.s.81.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.81.name=/GECKO3COM_simple_1/s_gpif_rx_data<1>
unit.0.0.port.-1.s.81.orderindex=-1
unit.0.0.port.-1.s.81.visible=0
unit.0.0.port.-1.s.82.alias=
unit.0.0.port.-1.s.82.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.82.name=/GECKO3COM_simple_1/s_gpif_rx_data<2>
unit.0.0.port.-1.s.82.orderindex=-1
unit.0.0.port.-1.s.82.visible=0
unit.0.0.port.-1.s.83.alias=
unit.0.0.port.-1.s.83.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.83.name=/GECKO3COM_simple_1/s_gpif_rx_data<3>
unit.0.0.port.-1.s.83.orderindex=-1
unit.0.0.port.-1.s.83.visible=0
unit.0.0.port.-1.s.84.alias=
unit.0.0.port.-1.s.84.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.84.name=/GECKO3COM_simple_1/s_gpif_rx_data<4>
unit.0.0.port.-1.s.84.orderindex=-1
unit.0.0.port.-1.s.84.visible=0
unit.0.0.port.-1.s.85.alias=
unit.0.0.port.-1.s.85.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.85.name=/GECKO3COM_simple_1/s_gpif_rx_data<5>
unit.0.0.port.-1.s.85.orderindex=-1
unit.0.0.port.-1.s.85.visible=0
unit.0.0.port.-1.s.86.alias=
unit.0.0.port.-1.s.86.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.86.name=/GECKO3COM_simple_1/s_gpif_rx_data<6>
unit.0.0.port.-1.s.86.orderindex=-1
unit.0.0.port.-1.s.86.visible=0
unit.0.0.port.-1.s.87.alias=
unit.0.0.port.-1.s.87.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.87.name=/GECKO3COM_simple_1/s_gpif_rx_data<7>
unit.0.0.port.-1.s.87.orderindex=-1
unit.0.0.port.-1.s.87.visible=0
unit.0.0.port.-1.s.88.alias=
unit.0.0.port.-1.s.88.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.88.name=/GECKO3COM_simple_1/s_gpif_rx_data<8>
unit.0.0.port.-1.s.88.orderindex=-1
unit.0.0.port.-1.s.88.visible=0
unit.0.0.port.-1.s.89.alias=
unit.0.0.port.-1.s.89.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.89.name=/GECKO3COM_simple_1/s_gpif_rx_data<9>
unit.0.0.port.-1.s.89.orderindex=-1
unit.0.0.port.-1.s.89.visible=0
unit.0.0.port.-1.s.9.alias=
unit.0.0.port.-1.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.9.name=/GECKO3COM_simple_1/o_send_finished
unit.0.0.port.-1.s.9.orderindex=-1
unit.0.0.port.-1.s.9.visible=1
unit.0.0.port.-1.s.90.alias=
unit.0.0.port.-1.s.90.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.90.name=/GECKO3COM_simple_1/o_receive_transfersize<0>
unit.0.0.port.-1.s.90.orderindex=-1
unit.0.0.port.-1.s.90.visible=1
unit.0.0.port.-1.s.91.alias=
unit.0.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_0
unit.0.0.port.-1.s.91.orderindex=-1
unit.0.0.port.-1.s.91.visible=0
unit.0.0.port.-1.s.92.alias=
unit.0.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_1
unit.0.0.port.-1.s.92.orderindex=-1
unit.0.0.port.-1.s.92.visible=0
unit.0.0.port.-1.s.93.alias=
unit.0.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_2
unit.0.0.port.-1.s.93.orderindex=-1
unit.0.0.port.-1.s.93.visible=0
unit.0.0.port.-1.s.94.alias=
unit.0.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_3
unit.0.0.port.-1.s.94.orderindex=-1
unit.0.0.port.-1.s.94.visible=0
unit.0.0.port.-1.s.95.alias=
unit.0.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_4
unit.0.0.port.-1.s.95.orderindex=-1
unit.0.0.port.-1.s.95.visible=0
unit.0.0.port.-1.s.96.alias=
unit.0.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_5
unit.0.0.port.-1.s.96.orderindex=-1
unit.0.0.port.-1.s.96.visible=0
unit.0.0.port.-1.s.97.alias=
unit.0.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_6
unit.0.0.port.-1.s.97.orderindex=-1
unit.0.0.port.-1.s.97.visible=0
unit.0.0.port.-1.s.98.alias=
unit.0.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_7
unit.0.0.port.-1.s.98.orderindex=-1
unit.0.0.port.-1.s.98.visible=0
unit.0.0.port.-1.s.99.alias=
unit.0.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_8
unit.0.0.port.-1.s.99.orderindex=-1
unit.0.0.port.-1.s.99.visible=0
unit.0.0.port.0.b.0.alias=
unit.0.0.port.0.b.0.channellist=0 1 2 3 4 5 6 7 8 9 10 11
unit.0.0.port.0.b.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.b.0.name=TriggerPort0
unit.0.0.port.0.b.0.orderindex=-1
unit.0.0.port.0.b.0.radix=Hex
unit.0.0.port.0.b.0.signedOffset=0.0
unit.0.0.port.0.b.0.signedPrecision=0
unit.0.0.port.0.b.0.signedScaleFactor=1.0
unit.0.0.port.0.b.0.unsignedOffset=0.0
unit.0.0.port.0.b.0.unsignedPrecision=0
unit.0.0.port.0.b.0.unsignedScaleFactor=1.0
unit.0.0.port.0.b.0.visible=1
unit.0.0.port.0.buscount=1
unit.0.0.port.0.channelcount=12
unit.0.0.port.0.s.0.alias=
unit.0.0.port.0.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.0.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.port.0.s.0.orderindex=-1
unit.0.0.port.0.s.0.visible=1
unit.0.0.port.0.s.1.alias=
unit.0.0.port.0.s.1.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.1.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.port.0.s.1.orderindex=-1
unit.0.0.port.0.s.1.visible=1
unit.0.0.port.0.s.10.alias=
unit.0.0.port.0.s.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.10.name=/s_send_transfersize_en
unit.0.0.port.0.s.10.orderindex=-1
unit.0.0.port.0.s.10.visible=1
unit.0.0.port.0.s.11.alias=
unit.0.0.port.0.s.11.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.11.name=/s_transfer_size_reg_en
unit.0.0.port.0.s.11.orderindex=-1
unit.0.0.port.0.s.11.visible=1
unit.0.0.port.0.s.2.alias=
unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_gpif_rx_empty
unit.0.0.port.0.s.2.orderindex=-1
unit.0.0.port.0.s.2.visible=1
unit.0.0.port.0.s.3.alias=
unit.0.0.port.0.s.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.3.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
unit.0.0.port.0.s.3.orderindex=-1
unit.0.0.port.0.s.3.visible=1
unit.0.0.port.0.s.4.alias=
unit.0.0.port.0.s.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.4.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.port.0.s.4.orderindex=-1
unit.0.0.port.0.s.4.visible=1
unit.0.0.port.0.s.5.alias=
unit.0.0.port.0.s.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.5.name=/GECKO3COM_simple_1/s_gpif_abort
unit.0.0.port.0.s.5.orderindex=-1
unit.0.0.port.0.s.5.visible=1
unit.0.0.port.0.s.6.alias=
unit.0.0.port.0.s.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.6.name=/s_send_data_request
unit.0.0.port.0.s.6.orderindex=-1
unit.0.0.port.0.s.6.visible=1
unit.0.0.port.0.s.7.alias=
unit.0.0.port.0.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.7.name=/s_send_fifo_full
unit.0.0.port.0.s.7.orderindex=-1
unit.0.0.port.0.s.7.visible=1
unit.0.0.port.0.s.8.alias=
unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.8.name=/s_send_fifo_wr_en
unit.0.0.port.0.s.8.orderindex=-1
unit.0.0.port.0.s.8.visible=1
unit.0.0.port.0.s.9.alias=
unit.0.0.port.0.s.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.9.name=/s_send_have_more_data
unit.0.0.port.0.s.9.orderindex=-1
unit.0.0.port.0.s.9.visible=1
unit.0.0.portcount=1
unit.0.0.samplesPerTrigger=1
unit.0.0.triggerCapture=1
unit.0.0.triggerNSamplesTS=0
unit.0.0.triggerPosition=100
unit.0.0.triggerWindowCount=1
unit.0.0.triggerWindowDepth=512
unit.0.0.triggerWindowTS=0
unit.0.0.username=MyILA0
unit.0.0.waveform.count=46
unit.0.0.waveform.posn.0.channel=0
unit.0.0.waveform.posn.0.name=/GECKO3COM_simple_1/i_nReset
unit.0.0.waveform.posn.0.type=signal
unit.0.0.waveform.posn.1.channel=1
unit.0.0.waveform.posn.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
unit.0.0.waveform.posn.1.type=signal
unit.0.0.waveform.posn.10.channel=10
unit.0.0.waveform.posn.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.waveform.posn.10.type=signal
unit.0.0.waveform.posn.100.channel=145
unit.0.0.waveform.posn.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.100.type=signal
unit.0.0.waveform.posn.101.channel=145
unit.0.0.waveform.posn.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.101.type=signal
unit.0.0.waveform.posn.102.channel=145
unit.0.0.waveform.posn.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.102.type=signal
unit.0.0.waveform.posn.103.channel=145
unit.0.0.waveform.posn.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.103.type=signal
unit.0.0.waveform.posn.104.channel=145
unit.0.0.waveform.posn.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.104.type=signal
unit.0.0.waveform.posn.105.channel=145
unit.0.0.waveform.posn.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.105.type=signal
unit.0.0.waveform.posn.106.channel=145
unit.0.0.waveform.posn.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.106.type=signal
unit.0.0.waveform.posn.107.channel=145
unit.0.0.waveform.posn.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.107.type=signal
unit.0.0.waveform.posn.108.channel=145
unit.0.0.waveform.posn.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.108.type=signal
unit.0.0.waveform.posn.109.channel=145
unit.0.0.waveform.posn.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.109.type=signal
unit.0.0.waveform.posn.11.channel=11
unit.0.0.waveform.posn.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.waveform.posn.11.type=signal
unit.0.0.waveform.posn.110.channel=145
unit.0.0.waveform.posn.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.110.type=signal
unit.0.0.waveform.posn.111.channel=145
unit.0.0.waveform.posn.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.111.type=signal
unit.0.0.waveform.posn.112.channel=145
unit.0.0.waveform.posn.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.112.type=signal
unit.0.0.waveform.posn.113.channel=145
unit.0.0.waveform.posn.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.113.type=signal
unit.0.0.waveform.posn.114.channel=145
unit.0.0.waveform.posn.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.114.type=signal
unit.0.0.waveform.posn.115.channel=145
unit.0.0.waveform.posn.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.115.type=signal
unit.0.0.waveform.posn.116.channel=145
unit.0.0.waveform.posn.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.116.type=signal
unit.0.0.waveform.posn.117.channel=145
unit.0.0.waveform.posn.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.117.type=signal
unit.0.0.waveform.posn.118.channel=145
unit.0.0.waveform.posn.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.118.type=signal
unit.0.0.waveform.posn.119.channel=145
unit.0.0.waveform.posn.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.119.type=signal
unit.0.0.waveform.posn.12.channel=12
unit.0.0.waveform.posn.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.waveform.posn.12.type=signal
unit.0.0.waveform.posn.120.channel=145
unit.0.0.waveform.posn.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.120.type=signal
unit.0.0.waveform.posn.121.channel=145
unit.0.0.waveform.posn.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.121.type=signal
unit.0.0.waveform.posn.122.channel=145
unit.0.0.waveform.posn.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.122.type=signal
unit.0.0.waveform.posn.123.channel=145
unit.0.0.waveform.posn.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.123.type=signal
unit.0.0.waveform.posn.124.channel=145
unit.0.0.waveform.posn.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.124.type=signal
unit.0.0.waveform.posn.125.channel=145
unit.0.0.waveform.posn.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.125.type=signal
unit.0.0.waveform.posn.126.channel=145
unit.0.0.waveform.posn.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.126.type=signal
unit.0.0.waveform.posn.127.channel=145
unit.0.0.waveform.posn.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.127.type=signal
unit.0.0.waveform.posn.128.channel=145
unit.0.0.waveform.posn.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.128.type=signal
unit.0.0.waveform.posn.129.channel=145
unit.0.0.waveform.posn.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.129.type=signal
unit.0.0.waveform.posn.13.channel=13
unit.0.0.waveform.posn.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
unit.0.0.waveform.posn.13.type=signal
unit.0.0.waveform.posn.130.channel=145
unit.0.0.waveform.posn.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.130.type=signal
unit.0.0.waveform.posn.131.channel=145
unit.0.0.waveform.posn.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.131.type=signal
unit.0.0.waveform.posn.132.channel=145
unit.0.0.waveform.posn.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.132.type=signal
unit.0.0.waveform.posn.133.channel=145
unit.0.0.waveform.posn.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.133.type=signal
unit.0.0.waveform.posn.134.channel=145
unit.0.0.waveform.posn.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.134.type=signal
unit.0.0.waveform.posn.135.channel=145
unit.0.0.waveform.posn.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.135.type=signal
unit.0.0.waveform.posn.136.channel=145
unit.0.0.waveform.posn.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.136.type=signal
unit.0.0.waveform.posn.137.channel=145
unit.0.0.waveform.posn.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.137.type=signal
unit.0.0.waveform.posn.138.channel=145
unit.0.0.waveform.posn.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.138.type=signal
unit.0.0.waveform.posn.139.channel=145
unit.0.0.waveform.posn.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.139.type=signal
unit.0.0.waveform.posn.14.channel=14
unit.0.0.waveform.posn.14.name=/GECKO3COM_simple_1/s_gpif_abort
unit.0.0.waveform.posn.14.type=signal
unit.0.0.waveform.posn.140.channel=145
unit.0.0.waveform.posn.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.140.type=signal
unit.0.0.waveform.posn.141.channel=145
unit.0.0.waveform.posn.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.141.type=signal
unit.0.0.waveform.posn.142.channel=145
unit.0.0.waveform.posn.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.142.type=signal
unit.0.0.waveform.posn.143.channel=145
unit.0.0.waveform.posn.143.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.143.type=signal
unit.0.0.waveform.posn.144.channel=145
unit.0.0.waveform.posn.144.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.144.type=signal
unit.0.0.waveform.posn.145.channel=145
unit.0.0.waveform.posn.145.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.145.type=signal
unit.0.0.waveform.posn.15.channel=15
unit.0.0.waveform.posn.15.name=/GECKO3COM_simple_1/s_gpif_eom
unit.0.0.waveform.posn.15.type=signal
unit.0.0.waveform.posn.16.channel=16
unit.0.0.waveform.posn.16.name=/GECKO3COM_simple_1/s_gpif_rx_empty
unit.0.0.waveform.posn.16.type=signal
unit.0.0.waveform.posn.17.channel=17
unit.0.0.waveform.posn.17.name=/GECKO3COM_simple_1/s_gpif_rx_rd_en
unit.0.0.waveform.posn.17.type=signal
unit.0.0.waveform.posn.18.channel=18
unit.0.0.waveform.posn.18.name=/GECKO3COM_simple_1/s_gpif_tx_full
unit.0.0.waveform.posn.18.type=signal
unit.0.0.waveform.posn.19.channel=19
unit.0.0.waveform.posn.19.name=/GECKO3COM_simple_1/s_gpif_tx_wr_en
unit.0.0.waveform.posn.19.type=signal
unit.0.0.waveform.posn.2.channel=2
unit.0.0.waveform.posn.2.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
unit.0.0.waveform.posn.2.type=signal
unit.0.0.waveform.posn.20.channel=20
unit.0.0.waveform.posn.20.name=/GECKO3COM_simple_1/s_nbtag_reg_en
unit.0.0.waveform.posn.20.type=signal
unit.0.0.waveform.posn.21.channel=21
unit.0.0.waveform.posn.21.name=/GECKO3COM_simple_1/s_receive_counter_en
unit.0.0.waveform.posn.21.type=signal
unit.0.0.waveform.posn.22.channel=22
unit.0.0.waveform.posn.22.name=/GECKO3COM_simple_1/s_receive_counter_load
unit.0.0.waveform.posn.22.type=signal
unit.0.0.waveform.posn.23.channel=23
unit.0.0.waveform.posn.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
unit.0.0.waveform.posn.23.type=signal
unit.0.0.waveform.posn.24.channel=24
unit.0.0.waveform.posn.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
unit.0.0.waveform.posn.24.type=signal
unit.0.0.waveform.posn.25.channel=25
unit.0.0.waveform.posn.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
unit.0.0.waveform.posn.25.type=signal
unit.0.0.waveform.posn.26.channel=65
unit.0.0.waveform.posn.26.name=v_receive_fifo_empty_old
unit.0.0.waveform.posn.26.type=signal
unit.0.0.waveform.posn.27.channel=26
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_reset
unit.0.0.waveform.posn.27.type=signal
unit.0.0.waveform.posn.28.channel=27
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
unit.0.0.waveform.posn.28.type=signal
unit.0.0.waveform.posn.29.channel=28
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_receive_newdata_set
unit.0.0.waveform.posn.29.type=signal
unit.0.0.waveform.posn.3.channel=3
unit.0.0.waveform.posn.3.name=/GECKO3COM_simple_1/i_send_have_more_data
unit.0.0.waveform.posn.3.type=signal
unit.0.0.waveform.posn.30.channel=31
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.waveform.posn.30.type=signal
unit.0.0.waveform.posn.31.channel=32
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_en
unit.0.0.waveform.posn.31.type=signal
unit.0.0.waveform.posn.32.channel=33
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_load
unit.0.0.waveform.posn.32.type=signal
unit.0.0.waveform.posn.33.channel=34
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_counter_zero
unit.0.0.waveform.posn.33.type=signal
unit.0.0.waveform.posn.34.channel=35
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_data_request_set
unit.0.0.waveform.posn.34.type=signal
unit.0.0.waveform.posn.35.channel=36
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_empty
unit.0.0.waveform.posn.35.type=signal
unit.0.0.waveform.posn.36.channel=37
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
unit.0.0.waveform.posn.36.type=signal
unit.0.0.waveform.posn.37.channel=38
unit.0.0.waveform.posn.37.name=/GECKO3COM_simple_1/s_send_fifo_reset
unit.0.0.waveform.posn.37.radix=1
unit.0.0.waveform.posn.37.type=signal
unit.0.0.waveform.posn.38.channel=2147483646
unit.0.0.waveform.posn.38.name=s_btag
unit.0.0.waveform.posn.38.radix=1
unit.0.0.waveform.posn.38.type=bus
unit.0.0.waveform.posn.39.channel=2147483646
unit.0.0.waveform.posn.39.name=s_msg_id
unit.0.0.waveform.posn.39.radix=1
unit.0.0.waveform.posn.39.type=bus
unit.0.0.waveform.posn.4.channel=4
unit.0.0.waveform.posn.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
unit.0.0.waveform.posn.4.type=signal
unit.0.0.waveform.posn.40.channel=2147483646
unit.0.0.waveform.posn.40.name=s_nbtag
unit.0.0.waveform.posn.40.radix=1
unit.0.0.waveform.posn.40.type=bus
unit.0.0.waveform.posn.41.channel=2147483646
unit.0.0.waveform.posn.41.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.waveform.posn.41.radix=1
unit.0.0.waveform.posn.41.type=bus
unit.0.0.waveform.posn.42.channel=2147483646
unit.0.0.waveform.posn.42.name=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.waveform.posn.42.radix=1
unit.0.0.waveform.posn.42.type=bus
unit.0.0.waveform.posn.43.channel=2147483646
unit.0.0.waveform.posn.43.name=s_receive_transfersize_en
unit.0.0.waveform.posn.43.radix=1
unit.0.0.waveform.posn.43.type=bus
unit.0.0.waveform.posn.44.channel=2147483646
unit.0.0.waveform.posn.44.name=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.waveform.posn.44.radix=1
unit.0.0.waveform.posn.44.type=bus
unit.0.0.waveform.posn.45.channel=2147483646
unit.0.0.waveform.posn.45.name=Mcount_s_receive_transfersize_count_eqn
unit.0.0.waveform.posn.45.radix=1
unit.0.0.waveform.posn.45.type=bus
unit.0.0.waveform.posn.46.channel=2147483646
unit.0.0.waveform.posn.46.name=Mcount_s_receive_transfersize_count_eqn
unit.0.0.waveform.posn.46.radix=1
unit.0.0.waveform.posn.46.type=bus
unit.0.0.waveform.posn.47.channel=145
unit.0.0.waveform.posn.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.47.type=signal
unit.0.0.waveform.posn.48.channel=145
unit.0.0.waveform.posn.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.48.type=signal
unit.0.0.waveform.posn.49.channel=145
unit.0.0.waveform.posn.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.49.type=signal
unit.0.0.waveform.posn.5.channel=5
unit.0.0.waveform.posn.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.waveform.posn.5.type=signal
unit.0.0.waveform.posn.50.channel=145
unit.0.0.waveform.posn.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.50.type=signal
unit.0.0.waveform.posn.51.channel=145
unit.0.0.waveform.posn.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.51.type=signal
unit.0.0.waveform.posn.52.channel=145
unit.0.0.waveform.posn.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.52.type=signal
unit.0.0.waveform.posn.53.channel=145
unit.0.0.waveform.posn.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.53.type=signal
unit.0.0.waveform.posn.54.channel=145
unit.0.0.waveform.posn.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.54.type=signal
unit.0.0.waveform.posn.55.channel=145
unit.0.0.waveform.posn.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.55.type=signal
unit.0.0.waveform.posn.56.channel=145
unit.0.0.waveform.posn.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.56.type=signal
unit.0.0.waveform.posn.57.channel=145
unit.0.0.waveform.posn.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.57.type=signal
unit.0.0.waveform.posn.58.channel=145
unit.0.0.waveform.posn.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.58.type=signal
unit.0.0.waveform.posn.59.channel=145
unit.0.0.waveform.posn.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.59.type=signal
unit.0.0.waveform.posn.6.channel=6
unit.0.0.waveform.posn.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.waveform.posn.6.type=signal
unit.0.0.waveform.posn.60.channel=145
unit.0.0.waveform.posn.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.60.type=signal
unit.0.0.waveform.posn.61.channel=145
unit.0.0.waveform.posn.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.61.type=signal
unit.0.0.waveform.posn.62.channel=145
unit.0.0.waveform.posn.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.62.type=signal
unit.0.0.waveform.posn.63.channel=145
unit.0.0.waveform.posn.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.63.type=signal
unit.0.0.waveform.posn.64.channel=145
unit.0.0.waveform.posn.64.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.64.type=signal
unit.0.0.waveform.posn.65.channel=145
unit.0.0.waveform.posn.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.65.type=signal
unit.0.0.waveform.posn.66.channel=145
unit.0.0.waveform.posn.66.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.66.type=signal
unit.0.0.waveform.posn.67.channel=145
unit.0.0.waveform.posn.67.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.67.type=signal
unit.0.0.waveform.posn.68.channel=145
unit.0.0.waveform.posn.68.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.68.type=signal
unit.0.0.waveform.posn.69.channel=145
unit.0.0.waveform.posn.69.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.69.type=signal
unit.0.0.waveform.posn.7.channel=7
unit.0.0.waveform.posn.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.waveform.posn.7.type=signal
unit.0.0.waveform.posn.70.channel=145
unit.0.0.waveform.posn.70.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.70.type=signal
unit.0.0.waveform.posn.71.channel=145
unit.0.0.waveform.posn.71.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.71.type=signal
unit.0.0.waveform.posn.72.channel=145
unit.0.0.waveform.posn.72.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.72.type=signal
unit.0.0.waveform.posn.73.channel=145
unit.0.0.waveform.posn.73.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.73.type=signal
unit.0.0.waveform.posn.74.channel=145
unit.0.0.waveform.posn.74.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.74.type=signal
unit.0.0.waveform.posn.75.channel=145
unit.0.0.waveform.posn.75.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.75.type=signal
unit.0.0.waveform.posn.76.channel=145
unit.0.0.waveform.posn.76.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.76.type=signal
unit.0.0.waveform.posn.77.channel=145
unit.0.0.waveform.posn.77.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.77.type=signal
unit.0.0.waveform.posn.78.channel=145
unit.0.0.waveform.posn.78.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.78.type=signal
unit.0.0.waveform.posn.79.channel=145
unit.0.0.waveform.posn.79.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.79.type=signal
unit.0.0.waveform.posn.8.channel=8
unit.0.0.waveform.posn.8.name=/GECKO3COM_simple_1/o_send_fifo_full
unit.0.0.waveform.posn.8.type=signal
unit.0.0.waveform.posn.80.channel=145
unit.0.0.waveform.posn.80.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.80.type=signal
unit.0.0.waveform.posn.81.channel=145
unit.0.0.waveform.posn.81.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.81.type=signal
unit.0.0.waveform.posn.82.channel=145
unit.0.0.waveform.posn.82.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.82.type=signal
unit.0.0.waveform.posn.83.channel=145
unit.0.0.waveform.posn.83.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.83.type=signal
unit.0.0.waveform.posn.84.channel=145
unit.0.0.waveform.posn.84.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.84.type=signal
unit.0.0.waveform.posn.85.channel=145
unit.0.0.waveform.posn.85.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.85.type=signal
unit.0.0.waveform.posn.86.channel=145
unit.0.0.waveform.posn.86.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.86.type=signal
unit.0.0.waveform.posn.87.channel=145
unit.0.0.waveform.posn.87.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.87.type=signal
unit.0.0.waveform.posn.88.channel=145
unit.0.0.waveform.posn.88.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.88.type=signal
unit.0.0.waveform.posn.89.channel=145
unit.0.0.waveform.posn.89.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.89.type=signal
unit.0.0.waveform.posn.9.channel=9
unit.0.0.waveform.posn.9.name=/GECKO3COM_simple_1/o_send_finished
unit.0.0.waveform.posn.9.type=signal
unit.0.0.waveform.posn.90.channel=145
unit.0.0.waveform.posn.90.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.90.type=signal
unit.0.0.waveform.posn.91.channel=145
unit.0.0.waveform.posn.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.91.type=signal
unit.0.0.waveform.posn.92.channel=145
unit.0.0.waveform.posn.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.92.type=signal
unit.0.0.waveform.posn.93.channel=145
unit.0.0.waveform.posn.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.93.type=signal
unit.0.0.waveform.posn.94.channel=145
unit.0.0.waveform.posn.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.94.type=signal
unit.0.0.waveform.posn.95.channel=145
unit.0.0.waveform.posn.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.95.type=signal
unit.0.0.waveform.posn.96.channel=145
unit.0.0.waveform.posn.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.96.type=signal
unit.0.0.waveform.posn.97.channel=145
unit.0.0.waveform.posn.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.97.type=signal
unit.0.0.waveform.posn.98.channel=145
unit.0.0.waveform.posn.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.98.type=signal
unit.0.0.waveform.posn.99.channel=145
unit.0.0.waveform.posn.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.99.type=signal
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_send.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_dualclock.gise
31,20 → 31,19
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1264674793" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1264674793">
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266409107">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1264674793" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="1867295409678939408" xil_pn:start_ts="1264674793">
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266409107">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForProperties"/>
</transform>
<transform xil_pn:end_ts="1264674793" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1264674793">
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266409107">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1264674794" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1264674793">
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266409107">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_receive.xise
19,18 → 19,18
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="coregenerator_fifo_receive.v" xil_pn:type="FILE_VERILOG">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="PostRouteSimulation"/>
<association xil_pn:name="PostMapSimulation"/>
<association xil_pn:name="PostTranslateSimulation"/>
<association xil_pn:name="PostMapSimulation"/>
<association xil_pn:name="PostRouteSimulation"/>
</file>
<file xil_pn:name="coregenerator_fifo_receive.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="PostRouteSimulation"/>
<association xil_pn:name="PostMapSimulation"/>
<association xil_pn:name="PostTranslateSimulation"/>
<association xil_pn:name="PostMapSimulation"/>
<association xil_pn:name="PostRouteSimulation"/>
</file>
<file xil_pn:name="coregenerator_fifo_receive_padded.ngc" xil_pn:type="FILE_NGC">
<association xil_pn:name="Implementation"/>
39,9 → 39,9
 
<properties>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false"/>
<property xil_pn:name="Device" xil_pn:value="xc3s4000"/>
<property xil_pn:name="Device" xil_pn:value="xc3s1500"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan3"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural"/>
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Module|coregenerator_fifo_receive"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/coregenerator_fifo_receive"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="coregenerator_fifo_receive"/>
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_receive.gise
26,6 → 26,27
<file xil_pn:fileType="FILE_VHO" xil_pn:name="coregenerator_fifo_receive.vho" xil_pn:origination="imported"/>
</files>
 
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1266408549" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1266408549">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409105" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266409105">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409105" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266409105">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409105" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266409105">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266409105">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
 
</generated_project>
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_send.gise
26,6 → 26,27
<file xil_pn:fileType="FILE_VHO" xil_pn:name="coregenerator_fifo_send.vho" xil_pn:origination="imported"/>
</files>
 
<transforms xmlns="http://www.xilinx.com/XMLSchema"/>
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1266408550" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1266408550">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266409106">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266409106">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266409106">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266409106">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
</transforms>
 
</generated_project>
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_receive.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_dualclock.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.vhd
64,6 → 64,7
o_LEDrx : out std_logic; -- controll LED receive data
o_LEDtx : out std_logic; -- controll LED send data
o_LEDrun : out std_logic; -- power LED
o_dummy : out std_logic; -- dummy output for otherwise unused signals
i_mode_switch : in std_logic_vector(2 downto 0));
end GECKO3COM_simple_test;
 
81,7 → 82,8
 
-- we will transmitt 1 MiB data when the pseude random number generator
-- is used:
signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
--signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00100000";
signal c_transfer_size_prng : std_logic_vector(31 downto 0) := x"00000FA0";
 
-----------------------------------------------------------------------------
216,13 → 218,16
 
response_message_rom_1: response_message_rom
port map (
A => s_send_counter_value(3 downto 0),
A => s_send_counter_value(5 downto 2),
D => s_message_rom_data);
 
o_LEDrun <= '1';
 
o_dummy <= s_send_finished or s_receive_end_of_message or s_receive_newdata
or s_receive_data_error;
 
 
-- purpose: converts the mode_switch input to a binary coded value
-- type : combinational
-- inputs : i_mode_switch
331,7 → 336,10
s_send_counter_value <= (others => '0');
end if;
if s_send_counter_en = '1' then
s_send_counter_value <= s_send_counter_value + 1;
s_send_counter_value(31 downto 2) <=
s_send_counter_value(31 downto 2) + 1;
s_send_counter_value(1 downto 0) <= "00"; -- every fifo write (32bit)
-- transfers 4 bytes.
end if;
end if;
end process send_counter;
338,7 → 346,7
-- transfer size counter comparator
s_send_counter_equals_transfer_size <=
'1' when s_send_counter_value = s_send_transfersize else
'1' when s_send_counter_value >= s_send_transfersize else
'0';
 
 
/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple.vhd
130,6 → 130,7
i_receive_fifo_reset : in std_logic;
o_receive_transfersize : out std_logic_vector(31 downto 0);
i_receive_transfersize_en : in std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
o_receive_transfersize_lsb : out std_logic;
i_receive_counter_load : in std_logic;
i_receive_counter_en : in std_logic;
o_receive_counter_zero : out std_logic;
168,6 → 169,7
i_receive_fifo_full : in std_logic;
o_receive_fifo_reset : out std_logic;
o_receive_transfersize_en : out std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
i_receive_transfersize_lsb : in std_logic;
o_receive_counter_load : out std_logic;
o_receive_counter_en : out std_logic;
i_receive_counter_zero : in std_logic;
221,6 → 223,7
signal s_receive_fifo_full : std_logic;
signal s_receive_fifo_reset : std_logic;
signal s_receive_transfersize_en : std_logic_vector((32/SIZE_DBUS_GPIF)-1 downto 0);
signal s_receive_transfersize_lsb: std_logic;
signal s_receive_counter_load : std_logic;
signal s_receive_counter_en : std_logic;
signal s_receive_counter_zero : std_logic;
286,6 → 289,7
i_receive_fifo_reset => s_receive_fifo_reset,
o_receive_transfersize => o_receive_transfersize,
i_receive_transfersize_en => s_receive_transfersize_en,
o_receive_transfersize_lsb => s_receive_transfersize_lsb,
i_receive_counter_load => s_receive_counter_load,
i_receive_counter_en => s_receive_counter_en,
o_receive_counter_zero => s_receive_counter_zero,
325,6 → 329,7
i_receive_fifo_full => s_receive_fifo_full,
o_receive_fifo_reset => s_receive_fifo_reset,
o_receive_transfersize_en => s_receive_transfersize_en,
i_receive_transfersize_lsb => s_receive_transfersize_lsb,
o_receive_counter_load => s_receive_counter_load,
o_receive_counter_en => s_receive_counter_en,
i_receive_counter_zero => s_receive_counter_zero,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.