OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /gpib_controller/trunk/prototype_1/fpga/xilinx_prj/iseconfig
    from Rev 5 to Rev 8
    Reverse comparison

Rev 5 → Rev 8

/proto1.projectmgr
6,7 → 6,6
<!--This is an ISE project configuration file.-->
<ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNode></ClosedNode>
<ClosedNode>/EdgeDetector - arch</ClosedNode>
<ClosedNode>/Fifo8b - arch</ClosedNode>
<ClosedNode>/Fifo8b_Test_vhd - behavior</ClosedNode>
15,6 → 14,7
<ClosedNode>/Uart - arch</ClosedNode>
<ClosedNode>/gpibInterface - Behavioral</ClosedNode>
<ClosedNode>/main - Behavioral</ClosedNode>
<ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch</ClosedNode>
<ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/ev - EventReg - arch</ClosedNode>
<ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/gpib - gpibInterface - Behavioral</ClosedNode>
<ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/ig - InterruptGenerator - arch</ClosedNode>
24,26 → 24,28
<ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/writerFifo - Fifo8b - arch</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>gpib - gpibInterface - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB/vhdl/src/gpib/gpibInterface.vhd)</SelectedItem>
<SelectedItem>main - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB_fpga/xilinx_prj/src/main.vhd)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000104000000020000000000000000000000000000000064ffffffff000000810000000000000002000001040000000100000000000000000000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000163000000020000000000000000000000000000000064ffffffff000000810000000000000002000001630000000100000000000000000000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
<CurrentItem>gpib - gpibInterface - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB/vhdl/src/gpib/gpibInterface.vhd)</CurrentItem>
<CurrentItem>main - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB_fpga/xilinx_prj/src/main.vhd)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
<ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode>
<ClosedNode>Implement Design</ClosedNode>
<ClosedNode>Synthesize - XST</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Add Existing Source</SelectedItem>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000124000000010000000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000172000000010000000100000000000000000000000064ffffffff000000810000000000000001000001720000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Add Existing Source</CurrentItem>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes/>
50,35 → 52,33
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000250000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000001840000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000001a2000000040101000100000000000000000000000064ffffffff000000810000000000000004000000b40000000100000000000000240000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>commandDecoder.vhd</CurrentItem>
<CurrentItem>main.ucf</CurrentItem>
</ItemView>
<ItemView guiview="Library" >
<ClosedNodes>
<ClosedNode>work</ClosedNode>
</ClosedNodes>
<ClosedNodes/>
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000128000000010001000100000000000000000000000064ffffffff000000810000000000000001000001280000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000163000000010001000100000000000000000000000064ffffffff000000810000000000000001000001630000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>work</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
<ClosedNode>Design Utilities</ClosedNode>
<ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode>
<ClosedNode>Implement Design</ClosedNode>
<ClosedNode>Synthesize - XST</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem>Add Existing Source</SelectedItem>
<SelectedItem>Generate Programming File</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="vertical" >6</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000124000000010000000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000011b000000010000000100000000000000000000000064ffffffff0000008100000000000000010000011b0000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Add Existing Source</CurrentItem>
<CurrentItem>Generate Programming File</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
<ClosedNodes>
89,7 → 89,7
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000124000000010000000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000</ViewHeaderState>
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000012a000000010000000100000000000000000000000064ffffffff0000008100000000000000010000012a0000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>Add Existing Source</CurrentItem>
</ItemView>
/main.xreport
1,11 → 1,11
<?xml version='1.0' encoding='UTF-8'?>
<report-views version="2.0" >
<header>
<DateModified>2013-01-05T20:16:41</DateModified>
<DateModified>2013-01-05T23:24:20</DateModified>
<ModuleName>main</ModuleName>
<SummaryTimeStamp>2012-02-13T21:38:35</SummaryTimeStamp>
<SavedFilePath>/home/andrzej/apaluch/projects/elektronika/GPIB/prototype_1/fpga/proto1/iseconfig/main.xreport</SavedFilePath>
<ImplementationReportsDirectory>/home/andrzej/apaluch/projects/elektronika/GPIB/prototype_1/fpga/proto1/</ImplementationReportsDirectory>
<SavedFilePath>/home/andrzej/apaluch/projects/elektronika/GPIB_fpga/xilinx_prj/iseconfig/main.xreport</SavedFilePath>
<ImplementationReportsDirectory>/home/andrzej/apaluch/projects/elektronika/GPIB_fpga/xilinx_prj/</ImplementationReportsDirectory>
<DateInitialized>2012-01-25T02:08:45</DateInitialized>
<EnableMessageFiltering>true</EnableMessageFiltering>
</header>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.