OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588/trunk/sim/rtc
    from Rev 58 to Rev 62
    Reverse comparison

Rev 58 → Rev 62

/cleanup.sh
0,0 → 1,2
rm -rf altera work
rm -f modelsim.ini dpiheader.h transcript *.o *.so *.wlf
/sim.sh
0,0 → 1,2
vsim -do sim.do
/cleanup.bat
0,0 → 1,2
rmdir /S /Q work altera
del *.h *.o *.dll *.obj *.wlf transcript modelsim.ini
/sim.bat
1,7 → 1,3
title %CD%
 
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
SET MODEL_TECH=C:\modeltech_6.5b\win32
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
 
vsim -do sim.do

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.