OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588/trunk/sim/tsu
    from Rev 9 to Rev 10
    Reverse comparison

Rev 9 → Rev 10

/wave.do
20,18 → 20,21
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/int_cnt
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_ipv4_cnt
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/bypass_udp_cnt
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_sop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_eop_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_mod_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_sop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/int_eop_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_mod_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/int_data_d1
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_ip
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_port
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l2
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_l4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
40,28 → 43,9
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
add wave -noupdate -divider {New Divider}
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_TX/parser/ptp_cnt
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_sop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_eop_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_mod_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_data_d1
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_ip
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_port
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_event
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_msgid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_seqid
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_found
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_infor
add wave -noupdate -divider {New Divider}
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {21230000 ps} 0}
configure wave -namecolwidth 307
WaveRestoreCursors {{Cursor 1} {629901 ps} 0}
configure wave -namecolwidth 188
configure wave -valuecolwidth 165
configure wave -justifyvalue left
configure wave -signalnamewidth 0
75,4 → 59,4
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {16852432 ps} {30375568 ps}
WaveRestoreZoom {169911 ps} {813489 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.