OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588/trunk/sim/tsu
    from Rev 36 to Rev 37
    Reverse comparison

Rev 36 → Rev 37

/wave.do
45,6 → 45,7
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_cksum
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
51,13 → 52,16
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_clk
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/q_wr_en
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wr_data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wrusedw
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/q_wrusedw
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdclk
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdreq
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/queue/rdusedw
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/rx_ptp_event_cnt
add wave -noupdate -divider {New Divider}
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {535600 ps} 0}
WaveRestoreCursors {{Cursor 1} {39134000 ps} 0}
configure wave -namecolwidth 188
configure wave -valuecolwidth 165
configure wave -justifyvalue left
72,4 → 76,4
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {0 ps} {389986800 ps}
WaveRestoreZoom {0 ps} {27824653 ps}
/gmii_rx_bfm.v
1,7 → 1,7
/*
* $gmii_rx_bfm.v
*
* Copyright (c) 2012, BBY&HW. All rights reserved.
* Copyright (c) 2012, BABY&HW. All rights reserved.
*
* This library is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public
/ptpdv2_rx.txt
1,53 → 1,173
2
5
6
11
17
19
20
25
27
28
29
30
36
42
43
45
46
47
51
52
53
56
62
63
64
68
69
71
73
74
76
80
81
82
84
85
86
87
88
90
91
92
93
94
95
97
101
102
103
104
105
107
110
116
118
119
120
128
129
138
140
141
142
145
146
147
148
149
152
153
155
157
159
160
161
162
163
165
166
167
169
170
171
174
180
181
183
184
186
187
188
189
190
193
195
197
198
200
202
203
211
212
213
214
215
216
218
220
221
223
233
234
236
237
238
239
243
248
249
250
251
252
253
256
257
258
260
261
262
263
264
265
268
270
272
273
275
278
281
282
283
285
287
290
292
293
295
297
300
303
306
308
309
310
312
314
315
317
321
322
324
326
329
330
331
333
334
340
341
344
385
386
388
/gmii_tx_bfm.v
1,7 → 1,7
/*
* $gmii_tx_bfm.v
*
* Copyright (c) 2012, BBY&HW. All rights reserved.
* Copyright (c) 2012, BABY&HW. All rights reserved.
*
* This library is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public
/ptpdv2_tx.txt
1,21 → 1,35
1
2
5
7
9
11
13
15
17
19
21
23
24
27
29
31
33
35
37
39
41
43
44
47
49
51
53
55
57
59
61
63
65
67
69
/tsu_queue_tb.v
1,7 → 1,7
/*
* $tsu_queue_tb.v
*
* Copyright (c) 2012, BBY&HW. All rights reserved.
* Copyright (c) 2012, BABY&HW. All rights reserved.
*
* This library is free software; you can redistribute it and/or
* modify it under the terms of the GNU Lesser General Public
32,10 → 32,10
wire [ 7:0] gmii_txdata;
reg rtc_timer_clk;
reg [79:0] rtc_timer_in;
reg q_rd_clk;
reg q_rd_en;
wire [ 7:0] q_rd_stat;
wire [63:0] q_rd_data;
reg q_rd_clk;
reg q_rd_en;
wire [ 7:0] q_rd_stat;
wire [127:0] q_rd_data;
 
initial begin
// emulate the hardware behavior when power-up
/ReadMe.txt
1,7 → 1,7
This folder contains testbench for TSU module.
 
The PCAP files are read by the BFM to generate stimulus to the GMII interface.
The PCAP files can be filtered by "ptp.v2.messageid == 0x00 || ptp.v2.messageid == 0x02" and exported to TXT files as golden references.
The PCAP files can be filtered by "ptp.v2.messageid >= 0x00 && ptp.v2.messageid <= 0x07" and exported to TXT files as golden references.
 
The TX and RX TSU outputs are monitored and compared to the respective golden reference for the parser validation.
Any mismatch will be reported as Warning in the transcript.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.