OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588/trunk/sim/tsu
    from Rev 44 to Rev 52
    Reverse comparison

Rev 44 → Rev 52

/gmii_rx_bfm.v
91,8 → 91,8
gmii_rxctrl = 1'b0;
gmii_rxdata = 8'h00;
end
// send frame preamble and sfd 5555555d=4*8
repeat (3)
// send frame preamble and sfd 55555555555555d5=8*8
repeat (7)
begin
@(posedge gmii_rxclk_offset);
gmii_rxctrl = 1'b1;
100,7 → 100,7
end
@(posedge gmii_rxclk_offset)
gmii_rxctrl = 1'b1;
gmii_rxdata = 8'h5d;
gmii_rxdata = 8'hd5;
// send frame content
for (index_rx=0; index_rx<packet_leng_rx; index_rx=index_rx+1)
begin
/gmii_tx_bfm.v
91,8 → 91,8
gmii_txctrl = 1'b0;
gmii_txdata = 8'h00;
end
// send frame preamble and sfd 5555555d=4*8
repeat (3)
// send frame preamble and sfd 55555555555555d5=8*8
repeat (7)
begin
@(posedge gmii_txclk_offset);
gmii_txctrl = 1'b1;
100,7 → 100,7
end
@(posedge gmii_txclk_offset)
gmii_txctrl = 1'b1;
gmii_txdata = 8'h5d;
gmii_txdata = 8'hd5;
// send frame content
for (index_tx=0; index_tx<packet_leng_tx; index_tx=index_tx+1)
begin
/sim.bat
0,0 → 1,7
title %CD%
 
SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
SET MODEL_TECH=C:\modeltech_6.5b\win32
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin
 
vsim -do sim.do

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.