OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588/trunk/sim
    from Rev 34 to Rev 35
    Reverse comparison

Rev 34 → Rev 35

/tsu/wave.do
32,6 → 32,7
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data_d1
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_mpls
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv4
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_ipv6
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/bypass_udp
53,9 → 54,10
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/q_wrusedw
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/BFM_RX/num_rx
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/rx_ptp_event_cnt
add wave -noupdate -divider {New Divider}
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {76206000 ps} 0}
WaveRestoreCursors {{Cursor 1} {535600 ps} 0}
configure wave -namecolwidth 188
configure wave -valuecolwidth 165
configure wave -justifyvalue left
70,4 → 72,4
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {0 ps} {317931600 ps}
WaveRestoreZoom {0 ps} {389986800 ps}
/tsu/tsu_queue_tb.v
119,6 → 119,23
.gmii_txdata(gmii_txdata)
);
 
integer rx_ptp_event_cnt;
initial begin
rx_ptp_event_cnt = 0;
forever @(posedge DUT_RX.q_wr_en) begin
rx_ptp_event_cnt = rx_ptp_event_cnt + 1;
$display("%d", BFM_RX.num_rx);
end
end
 
integer tx_ptp_event_cnt;
initial begin
tx_ptp_event_cnt = 0;
forever @(posedge DUT_TX.q_wr_en) begin
tx_ptp_event_cnt = tx_ptp_event_cnt + 1;
//$display("%d", BFM_TX.num_tx);
end
end
 
endmodule
 
/tsu/ptpdv2_rx.pcap Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.